[5/6] x86/APX: optimize certain {nf}-form insns to BMI2 ones

Message ID 06c201c4-b999-4e5b-a96f-5f1f797a16e2@suse.com
State New
Headers
Series x86: a few more optimizations |

Checks

Context Check Description
linaro-tcwg-bot/tcwg_binutils_build--master-arm success Build passed
linaro-tcwg-bot/tcwg_binutils_build--master-aarch64 success Build passed
linaro-tcwg-bot/tcwg_binutils_check--master-aarch64 success Test passed
linaro-tcwg-bot/tcwg_binutils_check--master-arm success Test passed

Commit Message

Jan Beulich June 14, 2024, 12:14 p.m. UTC
  ..., as those leave EFLAGS untouched anyway. That's a shorter encoding,
available as long as no eGPR is in use anywhere.
---
RFC: Especially because of the need to explicitly enable BMI2 this may
     be deemed not worth it; seeking views.
  

Comments

Jiang, Haochen June 17, 2024, 6:36 a.m. UTC | #1
> -----Original Message-----
> From: Jan Beulich <jbeulich@suse.com>
> Sent: Friday, June 14, 2024 8:14 PM
> To: Binutils <binutils@sourceware.org>
> Cc: H.J. Lu <hjl.tools@gmail.com>; Cui, Lili <lili.cui@intel.com>
> Subject: [PATCH 5/6] x86/APX: optimize certain {nf}-form insns to BMI2 ones
> 
> ..., as those leave EFLAGS untouched anyway. That's a shorter encoding,
> available as long as no eGPR is in use anywhere.
> ---
> RFC: Especially because of the need to explicitly enable BMI2 this may
>      be deemed not worth it; seeking views.
> 

If we need explicitly enable BMI2, maybe doing that in compiler is a better choice
since we always enable everything by default in assembler in the real world usage.

However, since actually the change only benefits codesize, not latency (Throughput
might be benefited but not for all cases). Doing that in assembler seems also
reasonable.

Going either way seems ok to me.

Thx,
Haochen
  

Patch

--- a/gas/config/tc-i386.c
+++ b/gas/config/tc-i386.c
@@ -5098,6 +5098,116 @@  optimize_encoding (void)
       i.tm.operand_types[0].bitfield.imm1 = 1;
       i.imm_operands = 0;
     }
+  else if (i.has_nf
+	   && i.tm.opcode_space == SPACE_EVEXMAP4
+	   && cpu_arch_isa_flags.bitfield.cpubmi2
+	   && i.encoding == encoding_default
+	   && (i.operands > 2 || !i.mem_operands)
+	   && (i.types[i.operands - 1].bitfield.dword
+	       || i.types[i.operands - 1].bitfield.qword))
+    {
+      if (i.tm.base_opcode == 0xd2)
+	{
+	  /* Optimize: -O:
+	       <OP> one of sal, sar, shl, shr:
+	       {nf} <OP> %cl, %rN       -> <OP>x %{e,r}cx, %rN, %rN (N < 16)
+	       {nf} <OP> %cl, ..., %rN  -> <OP>x %{e,r}cx, ..., %rN (no eGPR used)
+	   */
+	  gas_assert (i.tm.extension_opcode & 4);
+	  i.tm.operand_types[0] = i.tm.operand_types[i.operands - 1];
+	  /* NB: i.op[0].regs specifying %cl is good enough.  */
+	  i.types[0] = i.types[i.operands - 1];
+	  if (i.operands == 2)
+	    {
+	      i.tm.operand_types[0].bitfield.baseindex = 0;
+	      i.tm.operand_types[2] = i.tm.operand_types[0];
+	      i.op[2].regs = i.op[1].regs;
+	      i.types[2] = i.types[1];
+	      i.reg_operands = i.operands = 3;
+	    }
+	  i.has_nf = false;
+	  i.tm.opcode_modifier.w = 0;
+	  i.tm.opcode_modifier.evex = 0;
+	  i.tm.opcode_modifier.vex = VEX128;
+	  i.tm.opcode_modifier.vexvvvv = VexVVVV_SRC2;
+	  i.tm.opcode_space = SPACE_0F38;
+	  i.tm.base_opcode = 0xf7;
+	  i.tm.opcode_modifier.opcodeprefix
+	    = !(i.tm.extension_opcode & 1)
+	      ? PREFIX_0X66 /* shlx */
+	      : i.tm.extension_opcode & 2
+		? PREFIX_0XF3 /* sarx */
+		: PREFIX_0XF2 /* shrx */;
+	  i.tm.extension_opcode = None;
+	}
+      else if (i.tm.base_opcode == 0xc0
+	       && (i.tm.extension_opcode ||
+		   i.op[0].imms->X_op == O_constant))
+	{
+	  /* Optimize: -O:
+	       {nf} rol $I, %rN       -> rorx $osz-I, %rN, %rN (I != osz-1, N < 16)
+	       {nf} rol $I, ..., %rN  -> rorx $osz-I, ..., %rN (I != osz-1, no eGPR used)
+	       {nf} ror $I, %rN       -> rorx $I, %rN, %rN (I != 1, N < 16)
+	       {nf} ror $I, ..., %rN  -> rorx $I,..., %rN (I != 1, no eGPR used)
+	     NB: rol -> ror transformation for I == osz-1 was already handled above.
+	     NB2: ror with an immediate of 1 uses a different base opcode.
+	   */
+	  gas_assert (i.tm.extension_opcode <= 1);
+	  if (i.operands == 2)
+	    {
+	      i.tm.operand_types[2] = i.tm.operand_types[1];
+	      i.tm.operand_types[2].bitfield.baseindex = 0;
+	      i.op[2].regs = i.op[1].regs;
+	      i.types[2] = i.types[1];
+	      i.reg_operands = 2;
+	      i.operands = 3;
+	    }
+	  i.has_nf = false;
+	  i.tm.opcode_modifier.w = 0;
+	  i.tm.opcode_modifier.evex = 0;
+	  i.tm.opcode_modifier.vex = VEX128;
+	  i.tm.opcode_modifier.vexvvvv = 0;
+	  i.tm.opcode_space = SPACE_0F3A;
+	  i.tm.base_opcode = 0xf0;
+	  i.tm.opcode_modifier.opcodeprefix = PREFIX_0XF2;
+	  if (!i.tm.extension_opcode)
+	    i.op[0].imms->X_add_number =
+	      (i.types[i.operands - 1].bitfield.byte
+	       ? 8 : i.types[i.operands - 1].bitfield.word
+		     ? 16 : 64 >> i.types[i.operands - 1].bitfield.dword)
+	      - i.op[0].imms->X_add_number;
+	  i.tm.extension_opcode = None;
+	}
+      else if (i.tm.base_opcode == 0xf6
+	       && !i.mem_operands
+	       && i.op[0].regs->reg_num == 2
+	       && !(i.op[0].regs->reg_flags & RegRex) )
+	{
+	  /* Optimize: -O:
+	       {nf} mul %edx  -> mulx %eax, %eax, %edx
+	       {nf} mul %rdx  -> mulx %rax, %rax, %rdx
+	   */
+	  gas_assert (i.tm.extension_opcode == 4);
+	  i.tm.operand_types[1] = i.tm.operand_types[0];
+	  i.tm.operand_types[1].bitfield.baseindex = 0;
+	  i.tm.operand_types[2] = i.tm.operand_types[1];
+	  i.op[2].regs = i.op[0].regs;
+	  /* NB: %eax is good enough also for 64-bit operand size.  */
+	  i.op[1].regs = i.op[0].regs = reg_eax;
+	  i.types[2] = i.types[1] = i.types[0];
+	  i.reg_operands = i.operands = 3;
+
+	  i.has_nf = false;
+	  i.tm.opcode_modifier.w = 0;
+	  i.tm.opcode_modifier.evex = 0;
+	  i.tm.opcode_modifier.vex = VEX128;
+	  i.tm.opcode_modifier.vexvvvv = VexVVVV_SRC1;
+	  i.tm.opcode_space = SPACE_0F38;
+	  i.tm.base_opcode = 0xf6;
+	  i.tm.opcode_modifier.opcodeprefix = PREFIX_0XF2;
+	  i.tm.extension_opcode = None;
+	}
+    }
   else if (i.tm.base_opcode == 0xba
 	   && i.tm.opcode_space == SPACE_0F
 	   && i.reg_operands == 1
--- a/gas/testsuite/gas/i386/x86-64.exp
+++ b/gas/testsuite/gas/i386/x86-64.exp
@@ -392,6 +392,7 @@  run_dump_test "x86-64-apx-nf"
 run_dump_test "x86-64-apx-nf-intel"
 run_dump_test "x86-64-apx-nf-optimize"
 run_dump_test "x86-64-apx-nf-optimize-size"
+run_dump_test "x86-64-apx-nf-optimize-BMI2"
 run_dump_test "x86-64-apx-zu"
 run_dump_test "x86-64-apx-zu-intel"
 run_list_test "x86-64-apx-zu-inval"
--- a/gas/testsuite/gas/i386/x86-64-apx-nf.d
+++ b/gas/testsuite/gas/i386/x86-64-apx-nf.d
@@ -212,7 +212,7 @@  Disassembly of section \.text:
 \s*[a-f0-9]+:\s*62 f4 7c 0c f6 e3\s+\{nf\} mul %bl
 \s*[a-f0-9]+:\s*62 f4 7d 0c f7 e2\s+\{nf\} mul %dx
 \s*[a-f0-9]+:\s*62 f4 7c 0c f7 e1\s+\{nf\} mul %ecx
-\s*[a-f0-9]+:\s*62 d4 fc 0c f7 e1\s+\{nf\} mul %r9
+\s*[a-f0-9]+:\s*62 f4 fc 0c f7 e2\s+\{nf\} mul %rdx
 \s*[a-f0-9]+:\s*62 d4 7c 0c f6 a4 80 23 01 00 00\s+\{nf\} mulb 0x123\(%r8,%rax,4\)
 \s*[a-f0-9]+:\s*62 d4 7d 0c f7 a4 80 23 01 00 00\s+\{nf\} mulw 0x123\(%r8,%rax,4\)
 \s*[a-f0-9]+:\s*62 d4 7c 0c f7 a4 80 23 01 00 00\s+\{nf\} mull 0x123\(%r8,%rax,4\)
@@ -892,7 +892,7 @@  Disassembly of section \.text:
 \s*[a-f0-9]+:\s*62 54 fc 0c f5 8c 80 23 01 00 00\s+\{nf\} lzcnt 0x123\(%r8,%rax,4\),%r9
 \s*[a-f0-9]+:\s*62 f4 7c 0c f6 e3\s+\{nf\} mul %bl
 \s*[a-f0-9]+:\s*62 f4 7d 0c f7 e2\s+\{nf\} mul %dx
-\s*[a-f0-9]+:\s*62 f4 7c 0c f7 e1\s+\{nf\} mul %ecx
+\s*[a-f0-9]+:\s*62 f4 7c 0c f7 e2\s+\{nf\} mul %edx
 \s*[a-f0-9]+:\s*62 d4 fc 0c f7 e1\s+\{nf\} mul %r9
 \s*[a-f0-9]+:\s*62 d4 7c 0c f6 a4 80 23 01 00 00\s+\{nf\} mulb 0x123\(%r8,%rax,4\)
 \s*[a-f0-9]+:\s*62 d4 7d 0c f7 a4 80 23 01 00 00\s+\{nf\} mulw 0x123\(%r8,%rax,4\)
--- a/gas/testsuite/gas/i386/x86-64-apx-nf.s
+++ b/gas/testsuite/gas/i386/x86-64-apx-nf.s
@@ -207,7 +207,7 @@  _start:
 	{nf}	mul	%bl
 	{nf}	mul	%dx
 	{nf}	mul	%ecx
-	{nf}	mul	%r9
+	{nf}	mul	%rdx
 	{nf}	mulb	291(%r8, %rax, 4)
 	{nf}	mulw	291(%r8, %rax, 4)
 	{nf}	mull	291(%r8, %rax, 4)
@@ -888,7 +888,7 @@  intel:
 	{nf}	lzcnt	r9, QWORD PTR [r8+rax*4+291]
 	{nf}	mul	bl
 	{nf}	mul	dx
-	{nf}	mul	ecx
+	{nf}	mul	edx
 	{nf}	mul	r9
 	{nf}	mul	BYTE PTR [r8+rax*4+291]
 	{nf}	mul	WORD PTR [r8+rax*4+291]
--- a/gas/testsuite/gas/i386/x86-64-apx-nf-intel.d
+++ b/gas/testsuite/gas/i386/x86-64-apx-nf-intel.d
@@ -212,7 +212,7 @@  Disassembly of section \.text:
 \s*[a-f0-9]+:\s*62 f4 7c 0c f6 e3\s+\{nf\} mul bl
 \s*[a-f0-9]+:\s*62 f4 7d 0c f7 e2\s+\{nf\} mul dx
 \s*[a-f0-9]+:\s*62 f4 7c 0c f7 e1\s+\{nf\} mul ecx
-\s*[a-f0-9]+:\s*62 d4 fc 0c f7 e1\s+\{nf\} mul r9
+\s*[a-f0-9]+:\s*62 f4 fc 0c f7 e2\s+\{nf\} mul rdx
 \s*[a-f0-9]+:\s*62 d4 7c 0c f6 a4 80 23 01 00 00\s+\{nf\} mul BYTE PTR \[r8\+rax\*4\+0x123\]
 \s*[a-f0-9]+:\s*62 d4 7d 0c f7 a4 80 23 01 00 00\s+\{nf\} mul WORD PTR \[r8\+rax\*4\+0x123\]
 \s*[a-f0-9]+:\s*62 d4 7c 0c f7 a4 80 23 01 00 00\s+\{nf\} mul DWORD PTR \[r8\+rax\*4\+0x123\]
@@ -892,7 +892,7 @@  Disassembly of section \.text:
 \s*[a-f0-9]+:\s*62 54 fc 0c f5 8c 80 23 01 00 00\s+\{nf\} lzcnt r9,QWORD PTR \[r8\+rax\*4\+0x123\]
 \s*[a-f0-9]+:\s*62 f4 7c 0c f6 e3\s+\{nf\} mul bl
 \s*[a-f0-9]+:\s*62 f4 7d 0c f7 e2\s+\{nf\} mul dx
-\s*[a-f0-9]+:\s*62 f4 7c 0c f7 e1\s+\{nf\} mul ecx
+\s*[a-f0-9]+:\s*62 f4 7c 0c f7 e2\s+\{nf\} mul edx
 \s*[a-f0-9]+:\s*62 d4 fc 0c f7 e1\s+\{nf\} mul r9
 \s*[a-f0-9]+:\s*62 d4 7c 0c f6 a4 80 23 01 00 00\s+\{nf\} mul BYTE PTR \[r8\+rax\*4\+0x123\]
 \s*[a-f0-9]+:\s*62 d4 7d 0c f7 a4 80 23 01 00 00\s+\{nf\} mul WORD PTR \[r8\+rax\*4\+0x123\]
--- a/gas/testsuite/gas/i386/x86-64-apx-nf-optimize.d
+++ b/gas/testsuite/gas/i386/x86-64-apx-nf-optimize.d
@@ -212,7 +212,7 @@  Disassembly of section \.text:
 [ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 e3[ 	]+\{nf\} mul %bl
 [ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 e2[ 	]+\{nf\} mul %dx
 [ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 e1[ 	]+\{nf\} mul %ecx
-[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 e1[ 	]+\{nf\} mul %r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 0c f7 e2[ 	]+\{nf\} mul %rdx
 [ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 a4 80 23 01 00 00[ 	]+\{nf\} mulb 0x123\(%r8,%rax,4\)
 [ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 a4 80 23 01 00 00[ 	]+\{nf\} mulw 0x123\(%r8,%rax,4\)
 [ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f7 a4 80 23 01 00 00[ 	]+\{nf\} mull 0x123\(%r8,%rax,4\)
@@ -892,7 +892,7 @@  Disassembly of section \.text:
 [ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c f5 8c 80 23 01 00 00[ 	]+\{nf\} lzcnt 0x123\(%r8,%rax,4\),%r9
 [ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 e3[ 	]+\{nf\} mul %bl
 [ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 e2[ 	]+\{nf\} mul %dx
-[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 e1[ 	]+\{nf\} mul %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 e2[ 	]+\{nf\} mul %edx
 [ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 e1[ 	]+\{nf\} mul %r9
 [ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 a4 80 23 01 00 00[ 	]+\{nf\} mulb 0x123\(%r8,%rax,4\)
 [ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 a4 80 23 01 00 00[ 	]+\{nf\} mulw 0x123\(%r8,%rax,4\)
--- /dev/null
+++ b/gas/testsuite/gas/i386/x86-64-apx-nf-optimize-BMI2.d
@@ -0,0 +1,1385 @@ 
+#as: -O -march=+bmi2
+#objdump: -dw
+#name: x86_64 APX_F insns with nf pseudo prefix, -O, and BMI2
+#source: x86-64-apx-nf.s
+
+.*: +file format .*
+
+Disassembly of section \.text:
+
+0+ <_start>:
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 80 c3 7b[ 	]+\{nf\} add \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 80 c3 7b[ 	]+\{nf\} add \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 83 c2 7b[ 	]+\{nf\} add \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 83 c2 7b[ 	]+\{nf\} add \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 83 c1 7b[ 	]+\{nf\} add \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 83 c1 7b[ 	]+\{nf\} add \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 c1 7b[ 	]+\{nf\} add \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 83 c1 7b[ 	]+\{nf\} add \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 80 84 80 23 01 00 00 7b[ 	]+\{nf\} addb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c 80 84 80 23 01 00 00 7b[ 	]+\{nf\} add \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 83 84 80 23 01 00 00 7b[ 	]+\{nf\} addw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c 83 84 80 23 01 00 00 7b[ 	]+\{nf\} add \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 83 84 80 23 01 00 00 7b[ 	]+\{nf\} addl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c 83 84 80 23 01 00 00 7b[ 	]+\{nf\} add \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 84 80 23 01 00 00 7b[ 	]+\{nf\} addq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c 83 84 80 23 01 00 00 7b[ 	]+\{nf\} add \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 00 da[ 	]+\{nf\} add %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 3c 1c 00 da[ 	]+\{nf\} add %bl,%dl,%r8b
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 00 9c 80 23 01 00 00[ 	]+\{nf\} add %bl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 00 9c 80 23 01 00 00[ 	]+\{nf\} add %bl,0x123\(%r8,%rax,4\),%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 01 d0[ 	]+\{nf\} add %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 01 d0[ 	]+\{nf\} add %dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 01 94 80 23 01 00 00[ 	]+\{nf\} add %dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 01 94 80 23 01 00 00[ 	]+\{nf\} add %dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 01 ca[ 	]+\{nf\} add %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c 01 ca[ 	]+\{nf\} add %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 01 8c 80 23 01 00 00[ 	]+\{nf\} add %ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 01 8c 80 23 01 00 00[ 	]+\{nf\} add %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c 01 cf[ 	]+\{nf\} add %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c 01 cf[ 	]+\{nf\} add %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 01 8c 80 23 01 00 00[ 	]+\{nf\} add %r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 01 8c 80 23 01 00 00[ 	]+\{nf\} add %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 02 9c 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 02 9c 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 03 94 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 03 94 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 03 8c 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 03 8c 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 03 8c 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 03 8c 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 80 e3 7b[ 	]+\{nf\} and \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 80 e3 7b[ 	]+\{nf\} and \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 83 e2 7b[ 	]+\{nf\} and \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 83 e2 7b[ 	]+\{nf\} and \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 83 e1 7b[ 	]+\{nf\} and \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 83 e1 7b[ 	]+\{nf\} and \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 e1 7b[ 	]+\{nf\} and \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 83 e1 7b[ 	]+\{nf\} and \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 80 a4 80 23 01 00 00 7b[ 	]+\{nf\} andb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c 80 a4 80 23 01 00 00 7b[ 	]+\{nf\} and \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 83 a4 80 23 01 00 00 7b[ 	]+\{nf\} andw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c 83 a4 80 23 01 00 00 7b[ 	]+\{nf\} and \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 83 a4 80 23 01 00 00 7b[ 	]+\{nf\} andl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c 83 a4 80 23 01 00 00 7b[ 	]+\{nf\} and \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 a4 80 23 01 00 00 7b[ 	]+\{nf\} andq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c 83 a4 80 23 01 00 00 7b[ 	]+\{nf\} and \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 20 da[ 	]+\{nf\} and %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 3c 1c 20 da[ 	]+\{nf\} and %bl,%dl,%r8b
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 20 9c 80 23 01 00 00[ 	]+\{nf\} and %bl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 20 9c 80 23 01 00 00[ 	]+\{nf\} and %bl,0x123\(%r8,%rax,4\),%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 21 d0[ 	]+\{nf\} and %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 21 d0[ 	]+\{nf\} and %dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 21 94 80 23 01 00 00[ 	]+\{nf\} and %dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 21 94 80 23 01 00 00[ 	]+\{nf\} and %dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 21 ca[ 	]+\{nf\} and %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c 21 ca[ 	]+\{nf\} and %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 21 8c 80 23 01 00 00[ 	]+\{nf\} and %ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 21 8c 80 23 01 00 00[ 	]+\{nf\} and %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c 21 cf[ 	]+\{nf\} and %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c 21 cf[ 	]+\{nf\} and %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 21 8c 80 23 01 00 00[ 	]+\{nf\} and %r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 21 8c 80 23 01 00 00[ 	]+\{nf\} and %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 22 9c 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 22 9c 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 23 94 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 23 94 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 23 8c 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 23 8c 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 23 8c 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 23 8c 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 72 6c 0c f2 d1[ 	]+\{nf\} andn %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 52 84 04 f2 d9[ 	]+\{nf\} andn %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 d2 74 0c f2 94 80 23 01 00 00[ 	]+\{nf\} andn 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 42 b4 0c f2 bc 80 23 01 00 00[ 	]+\{nf\} andn 0x123\(%r8,%rax,4\),%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 72 74 0c f7 d2[ 	]+\{nf\} bextr %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d2 74 0c f7 94 80 23 01 00 00[ 	]+\{nf\} bextr %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5a b4 0c f7 df[ 	]+\{nf\} bextr %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 42 b4 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} bextr %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f2 6c 0c f3 d9[ 	]+\{nf\} blsi %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d2 84 04 f3 d9[ 	]+\{nf\} blsi %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d2 74 0c f3 9c 80 23 01 00 00[ 	]+\{nf\} blsi 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d2 b4 0c f3 9c 80 23 01 00 00[ 	]+\{nf\} blsi 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f2 6c 0c f3 d1[ 	]+\{nf\} blsmsk %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d2 84 04 f3 d1[ 	]+\{nf\} blsmsk %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d2 74 0c f3 94 80 23 01 00 00[ 	]+\{nf\} blsmsk 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d2 b4 0c f3 94 80 23 01 00 00[ 	]+\{nf\} blsmsk 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f2 6c 0c f3 c9[ 	]+\{nf\} blsr %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d2 84 04 f3 c9[ 	]+\{nf\} blsr %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d2 74 0c f3 8c 80 23 01 00 00[ 	]+\{nf\} blsr 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d2 b4 0c f3 8c 80 23 01 00 00[ 	]+\{nf\} blsr 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 72 74 0c f5 d2[ 	]+\{nf\} bzhi %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d2 74 0c f5 94 80 23 01 00 00[ 	]+\{nf\} bzhi %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5a b4 0c f5 df[ 	]+\{nf\} bzhi %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 42 b4 0c f5 bc 80 23 01 00 00[ 	]+\{nf\} bzhi %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 4c fc 0c 31 ff[ 	]+\{nf\} xor %r31,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c fe cb[ 	]+\{nf\} dec %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c fe cb[ 	]+\{nf\} dec %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c ff ca[ 	]+\{nf\} dec %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c ff ca[ 	]+\{nf\} dec %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c ff c9[ 	]+\{nf\} dec %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c ff c9[ 	]+\{nf\} dec %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c ff c9[ 	]+\{nf\} dec %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 ff c9[ 	]+\{nf\} dec %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c fe 8c 80 23 01 00 00[ 	]+\{nf\} decb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c fe 8c 80 23 01 00 00[ 	]+\{nf\} dec 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c ff 8c 80 23 01 00 00[ 	]+\{nf\} decw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c ff 8c 80 23 01 00 00[ 	]+\{nf\} dec 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c ff 8c 80 23 01 00 00[ 	]+\{nf\} decl 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c ff 8c 80 23 01 00 00[ 	]+\{nf\} dec 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c ff 8c 80 23 01 00 00[ 	]+\{nf\} decq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c ff 8c 80 23 01 00 00[ 	]+\{nf\} dec 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 f3[ 	]+\{nf\} div %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 f2[ 	]+\{nf\} div %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 f1[ 	]+\{nf\} div %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 f1[ 	]+\{nf\} div %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 b4 80 23 01 00 00[ 	]+\{nf\} divb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 b4 80 23 01 00 00[ 	]+\{nf\} divw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f7 b4 80 23 01 00 00[ 	]+\{nf\} divl 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 b4 80 23 01 00 00[ 	]+\{nf\} divq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 fb[ 	]+\{nf\} idiv %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 fb[ 	]+\{nf\} idiv %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 fa[ 	]+\{nf\} idiv %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 fa[ 	]+\{nf\} idiv %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 f9[ 	]+\{nf\} idiv %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 f9[ 	]+\{nf\} idiv %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 f9[ 	]+\{nf\} idiv %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 f9[ 	]+\{nf\} idiv %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 bc 80 23 01 00 00[ 	]+\{nf\} idivb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 bc 80 23 01 00 00[ 	]+\{nf\} idivb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} idivw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} idivw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} idivl 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} idivl 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} idivq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} idivq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 eb[ 	]+\{nf\} imul %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 ea[ 	]+\{nf\} imul %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c af c2[ 	]+\{nf\} imul %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c af c2[ 	]+\{nf\} imul %dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 e9[ 	]+\{nf\} imul %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c af d1[ 	]+\{nf\} imul %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c af d1[ 	]+\{nf\} imul %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 e9[ 	]+\{nf\} imul %r9
+[ 	]*[a-f0-9]+:[ 	]*62 44 fc 0c af f9[ 	]+\{nf\} imul %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 44 a4 1c af f9[ 	]+\{nf\} imul %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 ac 80 23 01 00 00[ 	]+\{nf\} imulb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 ac 80 23 01 00 00[ 	]+\{nf\} imulw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c af 94 80 23 01 00 00[ 	]+\{nf\} imul 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c af 94 80 23 01 00 00[ 	]+\{nf\} imul 0x123\(%r8,%rax,4\),%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f7 ac 80 23 01 00 00[ 	]+\{nf\} imull 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c af 8c 80 23 01 00 00[ 	]+\{nf\} imul 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c af 8c 80 23 01 00 00[ 	]+\{nf\} imul 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 ac 80 23 01 00 00[ 	]+\{nf\} imulq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c af 8c 80 23 01 00 00[ 	]+\{nf\} imul 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 af 8c 80 23 01 00 00[ 	]+\{nf\} imul 0x123\(%r8,%rax,4\),%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 6b c2 7b[ 	]+\{nf\} imul \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 6b d1 7b[ 	]+\{nf\} imul \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 6b f9 7b[ 	]+\{nf\} imul \$0x7b,%r9,%r15
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 6b c9 7b[ 	]+\{nf\} imul \$0x7b,%r9,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 6b 94 80 23 01 00 00 7b[ 	]+\{nf\} imul \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 6b 8c 80 23 01 00 00 7b[ 	]+\{nf\} imul \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 6b 8c 80 23 01 00 00 7b[ 	]+\{nf\} imul \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 6b c2 90[ 	]+\{nf\} imul \$0xff90,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 69 d1 90 ff 00 00[ 	]+\{nf\} imul \$0xff90,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 69 f9 90 ff 00 00[ 	]+\{nf\} imul \$0xff90,%r9,%r15
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 69 c9 90 ff 00 00[ 	]+\{nf\} imul \$0xff90,%r9,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 6b 94 80 23 01 00 00 90[ 	]+\{nf\} imul \$0xff90,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 69 8c 80 23 01 00 00 90 ff 00 00[ 	]+\{nf\} imul \$0xff90,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 69 8c 80 23 01 00 00 90 ff 00 00[ 	]+\{nf\} imul \$0xff90,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c fe c3[ 	]+\{nf\} inc %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c fe c3[ 	]+\{nf\} inc %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c ff c2[ 	]+\{nf\} inc %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c ff c2[ 	]+\{nf\} inc %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c ff c1[ 	]+\{nf\} inc %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c ff c1[ 	]+\{nf\} inc %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c ff c1[ 	]+\{nf\} inc %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 ff c1[ 	]+\{nf\} inc %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c fe 84 80 23 01 00 00[ 	]+\{nf\} incb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c fe 84 80 23 01 00 00[ 	]+\{nf\} inc 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c ff 84 80 23 01 00 00[ 	]+\{nf\} incw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c ff 84 80 23 01 00 00[ 	]+\{nf\} inc 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c ff 84 80 23 01 00 00[ 	]+\{nf\} incl 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c ff 84 80 23 01 00 00[ 	]+\{nf\} inc 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c ff 84 80 23 01 00 00[ 	]+\{nf\} incq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c ff 84 80 23 01 00 00[ 	]+\{nf\} inc 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f5 c2[ 	]+\{nf\} lzcnt %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f5 d1[ 	]+\{nf\} lzcnt %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 44 fc 0c f5 f9[ 	]+\{nf\} lzcnt %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f5 94 80 23 01 00 00[ 	]+\{nf\} lzcnt 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f5 8c 80 23 01 00 00[ 	]+\{nf\} lzcnt 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c f5 8c 80 23 01 00 00[ 	]+\{nf\} lzcnt 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 e3[ 	]+\{nf\} mul %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 e2[ 	]+\{nf\} mul %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 e1[ 	]+\{nf\} mul %ecx
+[ 	]*[a-f0-9]+:[ 	]*c4 e2 fb f6 d0[ 	]+mulx[ 	]+%rax,%rax,%rdx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 a4 80 23 01 00 00[ 	]+\{nf\} mulb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 a4 80 23 01 00 00[ 	]+\{nf\} mulw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f7 a4 80 23 01 00 00[ 	]+\{nf\} mull 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 a4 80 23 01 00 00[ 	]+\{nf\} mulq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 db[ 	]+\{nf\} neg %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c f6 db[ 	]+\{nf\} neg %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 da[ 	]+\{nf\} neg %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c f7 da[ 	]+\{nf\} neg %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 d9[ 	]+\{nf\} neg %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c f7 d9[ 	]+\{nf\} neg %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 d9[ 	]+\{nf\} neg %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 f7 d9[ 	]+\{nf\} neg %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 9c 80 23 01 00 00[ 	]+\{nf\} negb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c f6 9c 80 23 01 00 00[ 	]+\{nf\} neg 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 9c 80 23 01 00 00[ 	]+\{nf\} negw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c f7 9c 80 23 01 00 00[ 	]+\{nf\} neg 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f7 9c 80 23 01 00 00[ 	]+\{nf\} negl 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c f7 9c 80 23 01 00 00[ 	]+\{nf\} neg 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 9c 80 23 01 00 00[ 	]+\{nf\} negq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c f7 9c 80 23 01 00 00[ 	]+\{nf\} neg 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 80 cb 7b[ 	]+\{nf\} or \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 80 cb 7b[ 	]+\{nf\} or \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 83 ca 7b[ 	]+\{nf\} or \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 83 ca 7b[ 	]+\{nf\} or \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 83 c9 7b[ 	]+\{nf\} or \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 83 c9 7b[ 	]+\{nf\} or \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 c9 7b[ 	]+\{nf\} or \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 83 c9 7b[ 	]+\{nf\} or \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 80 8c 80 23 01 00 00 7b[ 	]+\{nf\} orb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c 80 8c 80 23 01 00 00 7b[ 	]+\{nf\} or \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 83 8c 80 23 01 00 00 7b[ 	]+\{nf\} orw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c 83 8c 80 23 01 00 00 7b[ 	]+\{nf\} or \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 83 8c 80 23 01 00 00 7b[ 	]+\{nf\} orl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c 83 8c 80 23 01 00 00 7b[ 	]+\{nf\} or \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 8c 80 23 01 00 00 7b[ 	]+\{nf\} orq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c 83 8c 80 23 01 00 00 7b[ 	]+\{nf\} or \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 08 da[ 	]+\{nf\} or %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 3c 1c 08 da[ 	]+\{nf\} or %bl,%dl,%r8b
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 08 9c 80 23 01 00 00[ 	]+\{nf\} or %bl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 08 9c 80 23 01 00 00[ 	]+\{nf\} or %bl,0x123\(%r8,%rax,4\),%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 09 d0[ 	]+\{nf\} or %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 09 d0[ 	]+\{nf\} or %dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 09 94 80 23 01 00 00[ 	]+\{nf\} or %dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 09 94 80 23 01 00 00[ 	]+\{nf\} or %dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 09 ca[ 	]+\{nf\} or %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c 09 ca[ 	]+\{nf\} or %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 09 8c 80 23 01 00 00[ 	]+\{nf\} or %ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 09 8c 80 23 01 00 00[ 	]+\{nf\} or %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c 09 cf[ 	]+\{nf\} or %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c 09 cf[ 	]+\{nf\} or %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 09 8c 80 23 01 00 00[ 	]+\{nf\} or %r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 09 8c 80 23 01 00 00[ 	]+\{nf\} or %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 0a 9c 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 0a 9c 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 0b 94 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 0b 94 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 0b 8c 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 0b 8c 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 0b 8c 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 0b 8c 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 88 c2[ 	]+\{nf\} popcnt %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 88 d1[ 	]+\{nf\} popcnt %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 44 fc 0c 88 f9[ 	]+\{nf\} popcnt %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 88 94 80 23 01 00 00[ 	]+\{nf\} popcnt 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 88 8c 80 23 01 00 00[ 	]+\{nf\} popcnt 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 88 8c 80 23 01 00 00[ 	]+\{nf\} popcnt 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d0 c3[ 	]+\{nf\} rol \$1,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d0 c3[ 	]+\{nf\} rol \$1,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d1 c2[ 	]+\{nf\} rol \$1,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d1 c2[ 	]+\{nf\} rol \$1,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d1 c1[ 	]+\{nf\} rol \$1,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d1 c1[ 	]+\{nf\} rol \$1,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 c1[ 	]+\{nf\} rol \$1,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d1 c1[ 	]+\{nf\} rol \$1,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d0 84 80 23 01 00 00[ 	]+\{nf\} rolb \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d0 84 80 23 01 00 00[ 	]+\{nf\} rol \$1,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d1 84 80 23 01 00 00[ 	]+\{nf\} rolw \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d1 84 80 23 01 00 00[ 	]+\{nf\} rol \$1,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d1 84 80 23 01 00 00[ 	]+\{nf\} roll \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d1 84 80 23 01 00 00[ 	]+\{nf\} rol \$1,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 84 80 23 01 00 00[ 	]+\{nf\} rolq \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d1 84 80 23 01 00 00[ 	]+\{nf\} rol \$1,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c0 c3 7b[ 	]+\{nf\} rol \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c0 c3 7b[ 	]+\{nf\} rol \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 c2 7b[ 	]+\{nf\} rol \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c c1 c2 7b[ 	]+\{nf\} rol \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*c4 e3 7b f0 c9 a5[ 	]+rorx[ 	]+\$0xa5,%ecx,%ecx
+[ 	]*[a-f0-9]+:[ 	]*c4 e3 7b f0 d1 a5[ 	]+rorx[ 	]+\$0xa5,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*c4 43 fb f0 c9 c5[ 	]+rorx[ 	]+\$0xc5,%r9,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 c1 c1 7b[ 	]+\{nf\} rol \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c0 84 80 23 01 00 00 7b[ 	]+\{nf\} rolb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c c0 84 80 23 01 00 00 7b[ 	]+\{nf\} rol \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c c1 84 80 23 01 00 00 7b[ 	]+\{nf\} rolw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c c1 84 80 23 01 00 00 7b[ 	]+\{nf\} rol \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c1 84 80 23 01 00 00 7b[ 	]+\{nf\} roll \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*c4 c3 7b f0 8c 80 23 01 00 00 a5[ 	]+rorx[ 	]+\$0xa5,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 84 80 23 01 00 00 7b[ 	]+\{nf\} rolq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*c4 43 fb f0 8c 80 23 01 00 00 c5[ 	]+rorx[ 	]+\$0xc5,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d2 c3[ 	]+\{nf\} rol %cl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d2 c3[ 	]+\{nf\} rol %cl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d3 c2[ 	]+\{nf\} rol %cl,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d3 c2[ 	]+\{nf\} rol %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d3 c1[ 	]+\{nf\} rol %cl,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d3 c1[ 	]+\{nf\} rol %cl,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 c1[ 	]+\{nf\} rol %cl,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d3 c1[ 	]+\{nf\} rol %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d2 84 80 23 01 00 00[ 	]+\{nf\} rolb %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d2 84 80 23 01 00 00[ 	]+\{nf\} rol %cl,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d3 84 80 23 01 00 00[ 	]+\{nf\} rolw %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d3 84 80 23 01 00 00[ 	]+\{nf\} rol %cl,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d3 84 80 23 01 00 00[ 	]+\{nf\} roll %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d3 84 80 23 01 00 00[ 	]+\{nf\} rol %cl,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 84 80 23 01 00 00[ 	]+\{nf\} rolq %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d3 84 80 23 01 00 00[ 	]+\{nf\} rol %cl,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d0 cb[ 	]+\{nf\} ror \$1,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d0 cb[ 	]+\{nf\} ror \$1,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d1 ca[ 	]+\{nf\} ror \$1,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d1 ca[ 	]+\{nf\} ror \$1,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d1 c9[ 	]+\{nf\} ror \$1,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d1 c9[ 	]+\{nf\} ror \$1,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 c9[ 	]+\{nf\} ror \$1,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d1 c9[ 	]+\{nf\} ror \$1,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d0 8c 80 23 01 00 00[ 	]+\{nf\} rorb \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d0 8c 80 23 01 00 00[ 	]+\{nf\} ror \$1,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d1 8c 80 23 01 00 00[ 	]+\{nf\} rorw \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d1 8c 80 23 01 00 00[ 	]+\{nf\} ror \$1,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d1 8c 80 23 01 00 00[ 	]+\{nf\} rorl \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d1 8c 80 23 01 00 00[ 	]+\{nf\} ror \$1,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 8c 80 23 01 00 00[ 	]+\{nf\} rorq \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d1 8c 80 23 01 00 00[ 	]+\{nf\} ror \$1,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c0 cb 7b[ 	]+\{nf\} ror \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c0 cb 7b[ 	]+\{nf\} ror \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 ca 7b[ 	]+\{nf\} ror \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c c1 ca 7b[ 	]+\{nf\} ror \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*c4 e3 7b f0 c9 7b[ 	]+rorx[ 	]+\$0x7b,%ecx,%ecx
+[ 	]*[a-f0-9]+:[ 	]*c4 e3 7b f0 d1 7b[ 	]+rorx[ 	]+\$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*c4 43 fb f0 c9 7b[ 	]+rorx[ 	]+\$0x7b,%r9,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 c1 c9 7b[ 	]+\{nf\} ror \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c0 8c 80 23 01 00 00 7b[ 	]+\{nf\} rorb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c c0 8c 80 23 01 00 00 7b[ 	]+\{nf\} ror \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c c1 8c 80 23 01 00 00 7b[ 	]+\{nf\} rorw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c c1 8c 80 23 01 00 00 7b[ 	]+\{nf\} ror \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c1 8c 80 23 01 00 00 7b[ 	]+\{nf\} rorl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*c4 c3 7b f0 8c 80 23 01 00 00 7b[ 	]+rorx[ 	]+\$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 8c 80 23 01 00 00 7b[ 	]+\{nf\} rorq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*c4 43 fb f0 8c 80 23 01 00 00 7b[ 	]+rorx[ 	]+\$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d2 cb[ 	]+\{nf\} ror %cl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d2 cb[ 	]+\{nf\} ror %cl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d3 ca[ 	]+\{nf\} ror %cl,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d3 ca[ 	]+\{nf\} ror %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d3 c9[ 	]+\{nf\} ror %cl,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d3 c9[ 	]+\{nf\} ror %cl,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 c9[ 	]+\{nf\} ror %cl,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d3 c9[ 	]+\{nf\} ror %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d2 8c 80 23 01 00 00[ 	]+\{nf\} rorb %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d2 8c 80 23 01 00 00[ 	]+\{nf\} ror %cl,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d3 8c 80 23 01 00 00[ 	]+\{nf\} rorw %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d3 8c 80 23 01 00 00[ 	]+\{nf\} ror %cl,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d3 8c 80 23 01 00 00[ 	]+\{nf\} rorl %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d3 8c 80 23 01 00 00[ 	]+\{nf\} ror %cl,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 8c 80 23 01 00 00[ 	]+\{nf\} rorq %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d3 8c 80 23 01 00 00[ 	]+\{nf\} ror %cl,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 00 db[ 	]+\{nf\} add %bl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 00 db[ 	]+\{nf\} add %bl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 01 d2[ 	]+\{nf\} add %dx,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 01 d2[ 	]+\{nf\} add %dx,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 01 c9[ 	]+\{nf\} add %ecx,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 01 c9[ 	]+\{nf\} add %ecx,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 01 c9[ 	]+\{nf\} add %r9,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 01 c9[ 	]+\{nf\} add %r9,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d0 a4 80 23 01 00 00[ 	]+\{nf\} shlb \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d0 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d1 a4 80 23 01 00 00[ 	]+\{nf\} shlw \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d1 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d1 a4 80 23 01 00 00[ 	]+\{nf\} shll \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d1 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 a4 80 23 01 00 00[ 	]+\{nf\} shlq \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d1 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c0 e3 7b[ 	]+\{nf\} shl \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c0 e3 7b[ 	]+\{nf\} shl \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 e2 7b[ 	]+\{nf\} shl \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c c1 e2 7b[ 	]+\{nf\} shl \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c0 a4 80 23 01 00 00 7b[ 	]+\{nf\} shlb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c c0 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shlw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shll \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shlq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d2 e3[ 	]+\{nf\} shl %cl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d2 e3[ 	]+\{nf\} shl %cl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d3 e2[ 	]+\{nf\} shl %cl,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d3 e2[ 	]+\{nf\} shl %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*c4 e2 71 f7 c9[ 	]+shlx[ 	]+%ecx,%ecx,%ecx
+[ 	]*[a-f0-9]+:[ 	]*c4 e2 71 f7 d1[ 	]+shlx[ 	]+%ecx,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*c4 42 f1 f7 c9[ 	]+shlx[ 	]+%rcx,%r9,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d3 e1[ 	]+\{nf\} shl %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d2 a4 80 23 01 00 00[ 	]+\{nf\} shlb %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d2 a4 80 23 01 00 00[ 	]+\{nf\} shl %cl,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d3 a4 80 23 01 00 00[ 	]+\{nf\} shlw %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d3 a4 80 23 01 00 00[ 	]+\{nf\} shl %cl,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d3 a4 80 23 01 00 00[ 	]+\{nf\} shll %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*c4 c2 71 f7 8c 80 23 01 00 00[ 	]+shlx[ 	]+%ecx,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 a4 80 23 01 00 00[ 	]+\{nf\} shlq %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*c4 42 f1 f7 8c 80 23 01 00 00[ 	]+shlx[ 	]+%rcx,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d0 fb[ 	]+\{nf\} sar \$1,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d0 fb[ 	]+\{nf\} sar \$1,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d1 fa[ 	]+\{nf\} sar \$1,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d1 fa[ 	]+\{nf\} sar \$1,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d1 f9[ 	]+\{nf\} sar \$1,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d1 f9[ 	]+\{nf\} sar \$1,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 f9[ 	]+\{nf\} sar \$1,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d1 f9[ 	]+\{nf\} sar \$1,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d0 bc 80 23 01 00 00[ 	]+\{nf\} sarb \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d0 bc 80 23 01 00 00[ 	]+\{nf\} sar \$1,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d1 bc 80 23 01 00 00[ 	]+\{nf\} sarw \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d1 bc 80 23 01 00 00[ 	]+\{nf\} sar \$1,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d1 bc 80 23 01 00 00[ 	]+\{nf\} sarl \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d1 bc 80 23 01 00 00[ 	]+\{nf\} sar \$1,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 bc 80 23 01 00 00[ 	]+\{nf\} sarq \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d1 bc 80 23 01 00 00[ 	]+\{nf\} sar \$1,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c0 fb 7b[ 	]+\{nf\} sar \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c0 fb 7b[ 	]+\{nf\} sar \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 fa 7b[ 	]+\{nf\} sar \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c c1 fa 7b[ 	]+\{nf\} sar \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c1 f9 7b[ 	]+\{nf\} sar \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c1 f9 7b[ 	]+\{nf\} sar \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 f9 7b[ 	]+\{nf\} sar \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 c1 f9 7b[ 	]+\{nf\} sar \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c0 bc 80 23 01 00 00 7b[ 	]+\{nf\} sarb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c c0 bc 80 23 01 00 00 7b[ 	]+\{nf\} sar \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c c1 bc 80 23 01 00 00 7b[ 	]+\{nf\} sarw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c c1 bc 80 23 01 00 00 7b[ 	]+\{nf\} sar \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c1 bc 80 23 01 00 00 7b[ 	]+\{nf\} sarl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c c1 bc 80 23 01 00 00 7b[ 	]+\{nf\} sar \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 bc 80 23 01 00 00 7b[ 	]+\{nf\} sarq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c c1 bc 80 23 01 00 00 7b[ 	]+\{nf\} sar \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d2 fb[ 	]+\{nf\} sar %cl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d2 fb[ 	]+\{nf\} sar %cl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d3 fa[ 	]+\{nf\} sar %cl,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d3 fa[ 	]+\{nf\} sar %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*c4 e2 72 f7 c9[ 	]+sarx[ 	]+%ecx,%ecx,%ecx
+[ 	]*[a-f0-9]+:[ 	]*c4 e2 72 f7 d1[ 	]+sarx[ 	]+%ecx,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*c4 42 f2 f7 c9[ 	]+sarx[ 	]+%rcx,%r9,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d3 f9[ 	]+\{nf\} sar %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d2 bc 80 23 01 00 00[ 	]+\{nf\} sarb %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d2 bc 80 23 01 00 00[ 	]+\{nf\} sar %cl,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d3 bc 80 23 01 00 00[ 	]+\{nf\} sarw %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d3 bc 80 23 01 00 00[ 	]+\{nf\} sar %cl,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d3 bc 80 23 01 00 00[ 	]+\{nf\} sarl %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*c4 c2 72 f7 8c 80 23 01 00 00[ 	]+sarx[ 	]+%ecx,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 bc 80 23 01 00 00[ 	]+\{nf\} sarq %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*c4 42 f2 f7 8c 80 23 01 00 00[ 	]+sarx[ 	]+%rcx,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 00 db[ 	]+\{nf\} add %bl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 00 db[ 	]+\{nf\} add %bl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 01 d2[ 	]+\{nf\} add %dx,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 01 d2[ 	]+\{nf\} add %dx,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 01 c9[ 	]+\{nf\} add %ecx,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 01 c9[ 	]+\{nf\} add %ecx,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 01 c9[ 	]+\{nf\} add %r9,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 01 c9[ 	]+\{nf\} add %r9,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d0 a4 80 23 01 00 00[ 	]+\{nf\} shlb \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d0 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d1 a4 80 23 01 00 00[ 	]+\{nf\} shlw \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d1 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d1 a4 80 23 01 00 00[ 	]+\{nf\} shll \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d1 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 a4 80 23 01 00 00[ 	]+\{nf\} shlq \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d1 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c0 e3 7b[ 	]+\{nf\} shl \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c0 e3 7b[ 	]+\{nf\} shl \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 e2 7b[ 	]+\{nf\} shl \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c c1 e2 7b[ 	]+\{nf\} shl \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c0 a4 80 23 01 00 00 7b[ 	]+\{nf\} shlb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c c0 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shlw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shll \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shlq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d2 e3[ 	]+\{nf\} shl %cl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d2 e3[ 	]+\{nf\} shl %cl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d3 e2[ 	]+\{nf\} shl %cl,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d3 e2[ 	]+\{nf\} shl %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*c4 e2 71 f7 c9[ 	]+shlx[ 	]+%ecx,%ecx,%ecx
+[ 	]*[a-f0-9]+:[ 	]*c4 e2 71 f7 d1[ 	]+shlx[ 	]+%ecx,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*c4 42 f1 f7 c9[ 	]+shlx[ 	]+%rcx,%r9,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d3 e1[ 	]+\{nf\} shl %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d2 a4 80 23 01 00 00[ 	]+\{nf\} shlb %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d2 a4 80 23 01 00 00[ 	]+\{nf\} shl %cl,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d3 a4 80 23 01 00 00[ 	]+\{nf\} shlw %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d3 a4 80 23 01 00 00[ 	]+\{nf\} shl %cl,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d3 a4 80 23 01 00 00[ 	]+\{nf\} shll %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*c4 c2 71 f7 8c 80 23 01 00 00[ 	]+shlx[ 	]+%ecx,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 a4 80 23 01 00 00[ 	]+\{nf\} shlq %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*c4 42 f1 f7 8c 80 23 01 00 00[ 	]+shlx[ 	]+%rcx,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 24 d0 7b[ 	]+\{nf\} shld \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 24 d0 7b[ 	]+\{nf\} shld \$0x7b,%dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 24 94 80 23 01 00 00 7b[ 	]+\{nf\} shld \$0x7b,%dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 24 94 80 23 01 00 00 7b[ 	]+\{nf\} shld \$0x7b,%dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 24 ca 7b[ 	]+\{nf\} shld \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c 24 ca 7b[ 	]+\{nf\} shld \$0x7b,%ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 24 8c 80 23 01 00 00 7b[ 	]+\{nf\} shld \$0x7b,%ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 24 8c 80 23 01 00 00 7b[ 	]+\{nf\} shld \$0x7b,%ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c 24 cf 7b[ 	]+\{nf\} shld \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c 24 cf 7b[ 	]+\{nf\} shld \$0x7b,%r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 24 8c 80 23 01 00 00 7b[ 	]+\{nf\} shld \$0x7b,%r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 24 8c 80 23 01 00 00 7b[ 	]+\{nf\} shld \$0x7b,%r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c a5 d0[ 	]+\{nf\} shld %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c a5 d0[ 	]+\{nf\} shld %cl,%dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c a5 94 80 23 01 00 00[ 	]+\{nf\} shld %cl,%dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c a5 94 80 23 01 00 00[ 	]+\{nf\} shld %cl,%dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c a5 ca[ 	]+\{nf\} shld %cl,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c a5 ca[ 	]+\{nf\} shld %cl,%ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c a5 8c 80 23 01 00 00[ 	]+\{nf\} shld %cl,%ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c a5 8c 80 23 01 00 00[ 	]+\{nf\} shld %cl,%ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c a5 cf[ 	]+\{nf\} shld %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c a5 cf[ 	]+\{nf\} shld %cl,%r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c a5 8c 80 23 01 00 00[ 	]+\{nf\} shld %cl,%r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 a5 8c 80 23 01 00 00[ 	]+\{nf\} shld %cl,%r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d0 eb[ 	]+\{nf\} shr \$1,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d0 eb[ 	]+\{nf\} shr \$1,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d1 ea[ 	]+\{nf\} shr \$1,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d1 ea[ 	]+\{nf\} shr \$1,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d1 e9[ 	]+\{nf\} shr \$1,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d1 e9[ 	]+\{nf\} shr \$1,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 e9[ 	]+\{nf\} shr \$1,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d1 e9[ 	]+\{nf\} shr \$1,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d0 ac 80 23 01 00 00[ 	]+\{nf\} shrb \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d0 ac 80 23 01 00 00[ 	]+\{nf\} shr \$1,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d1 ac 80 23 01 00 00[ 	]+\{nf\} shrw \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d1 ac 80 23 01 00 00[ 	]+\{nf\} shr \$1,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d1 ac 80 23 01 00 00[ 	]+\{nf\} shrl \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d1 ac 80 23 01 00 00[ 	]+\{nf\} shr \$1,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 ac 80 23 01 00 00[ 	]+\{nf\} shrq \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d1 ac 80 23 01 00 00[ 	]+\{nf\} shr \$1,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c0 eb 7b[ 	]+\{nf\} shr \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c0 eb 7b[ 	]+\{nf\} shr \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 ea 7b[ 	]+\{nf\} shr \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c c1 ea 7b[ 	]+\{nf\} shr \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c1 e9 7b[ 	]+\{nf\} shr \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c1 e9 7b[ 	]+\{nf\} shr \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 e9 7b[ 	]+\{nf\} shr \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 c1 e9 7b[ 	]+\{nf\} shr \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c0 ac 80 23 01 00 00 7b[ 	]+\{nf\} shrb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c c0 ac 80 23 01 00 00 7b[ 	]+\{nf\} shr \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c c1 ac 80 23 01 00 00 7b[ 	]+\{nf\} shrw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c c1 ac 80 23 01 00 00 7b[ 	]+\{nf\} shr \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c1 ac 80 23 01 00 00 7b[ 	]+\{nf\} shrl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c c1 ac 80 23 01 00 00 7b[ 	]+\{nf\} shr \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 ac 80 23 01 00 00 7b[ 	]+\{nf\} shrq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c c1 ac 80 23 01 00 00 7b[ 	]+\{nf\} shr \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d2 eb[ 	]+\{nf\} shr %cl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d2 eb[ 	]+\{nf\} shr %cl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d3 ea[ 	]+\{nf\} shr %cl,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d3 ea[ 	]+\{nf\} shr %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*c4 e2 73 f7 c9[ 	]+shrx[ 	]+%ecx,%ecx,%ecx
+[ 	]*[a-f0-9]+:[ 	]*c4 e2 73 f7 d1[ 	]+shrx[ 	]+%ecx,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*c4 42 f3 f7 c9[ 	]+shrx[ 	]+%rcx,%r9,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d3 e9[ 	]+\{nf\} shr %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d2 ac 80 23 01 00 00[ 	]+\{nf\} shrb %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d2 ac 80 23 01 00 00[ 	]+\{nf\} shr %cl,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d3 ac 80 23 01 00 00[ 	]+\{nf\} shrw %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d3 ac 80 23 01 00 00[ 	]+\{nf\} shr %cl,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d3 ac 80 23 01 00 00[ 	]+\{nf\} shrl %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*c4 c2 73 f7 8c 80 23 01 00 00[ 	]+shrx[ 	]+%ecx,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 ac 80 23 01 00 00[ 	]+\{nf\} shrq %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*c4 42 f3 f7 8c 80 23 01 00 00[ 	]+shrx[ 	]+%rcx,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 2c d0 7b[ 	]+\{nf\} shrd \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 2c d0 7b[ 	]+\{nf\} shrd \$0x7b,%dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 2c 94 80 23 01 00 00 7b[ 	]+\{nf\} shrd \$0x7b,%dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 2c 94 80 23 01 00 00 7b[ 	]+\{nf\} shrd \$0x7b,%dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 2c ca 7b[ 	]+\{nf\} shrd \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c 2c ca 7b[ 	]+\{nf\} shrd \$0x7b,%ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 2c 8c 80 23 01 00 00 7b[ 	]+\{nf\} shrd \$0x7b,%ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 2c 8c 80 23 01 00 00 7b[ 	]+\{nf\} shrd \$0x7b,%ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c 2c cf 7b[ 	]+\{nf\} shrd \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c 2c cf 7b[ 	]+\{nf\} shrd \$0x7b,%r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 2c 8c 80 23 01 00 00 7b[ 	]+\{nf\} shrd \$0x7b,%r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 2c 8c 80 23 01 00 00 7b[ 	]+\{nf\} shrd \$0x7b,%r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c ad d0[ 	]+\{nf\} shrd %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c ad d0[ 	]+\{nf\} shrd %cl,%dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c ad 94 80 23 01 00 00[ 	]+\{nf\} shrd %cl,%dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c ad 94 80 23 01 00 00[ 	]+\{nf\} shrd %cl,%dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c ad ca[ 	]+\{nf\} shrd %cl,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c ad ca[ 	]+\{nf\} shrd %cl,%ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c ad 8c 80 23 01 00 00[ 	]+\{nf\} shrd %cl,%ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c ad 8c 80 23 01 00 00[ 	]+\{nf\} shrd %cl,%ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c ad cf[ 	]+\{nf\} shrd %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c ad cf[ 	]+\{nf\} shrd %cl,%r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c ad 8c 80 23 01 00 00[ 	]+\{nf\} shrd %cl,%r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 ad 8c 80 23 01 00 00[ 	]+\{nf\} shrd %cl,%r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 80 eb 7b[ 	]+\{nf\} sub \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 80 eb 7b[ 	]+\{nf\} sub \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 83 ea 7b[ 	]+\{nf\} sub \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 83 ea 7b[ 	]+\{nf\} sub \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 83 e9 7b[ 	]+\{nf\} sub \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 83 e9 7b[ 	]+\{nf\} sub \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 e9 7b[ 	]+\{nf\} sub \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 83 e9 7b[ 	]+\{nf\} sub \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 80 ac 80 23 01 00 00 7b[ 	]+\{nf\} subb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c 80 ac 80 23 01 00 00 7b[ 	]+\{nf\} sub \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 83 ac 80 23 01 00 00 7b[ 	]+\{nf\} subw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c 83 ac 80 23 01 00 00 7b[ 	]+\{nf\} sub \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 83 ac 80 23 01 00 00 7b[ 	]+\{nf\} subl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c 83 ac 80 23 01 00 00 7b[ 	]+\{nf\} sub \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 ac 80 23 01 00 00 7b[ 	]+\{nf\} subq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c 83 ac 80 23 01 00 00 7b[ 	]+\{nf\} sub \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 28 da[ 	]+\{nf\} sub %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 3c 1c 28 da[ 	]+\{nf\} sub %bl,%dl,%r8b
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 28 9c 80 23 01 00 00[ 	]+\{nf\} sub %bl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 28 9c 80 23 01 00 00[ 	]+\{nf\} sub %bl,0x123\(%r8,%rax,4\),%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 29 d0[ 	]+\{nf\} sub %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 29 d0[ 	]+\{nf\} sub %dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 29 94 80 23 01 00 00[ 	]+\{nf\} sub %dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 29 94 80 23 01 00 00[ 	]+\{nf\} sub %dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 29 ca[ 	]+\{nf\} sub %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c 29 ca[ 	]+\{nf\} sub %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 29 8c 80 23 01 00 00[ 	]+\{nf\} sub %ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 29 8c 80 23 01 00 00[ 	]+\{nf\} sub %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c 29 cf[ 	]+\{nf\} sub %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c 29 cf[ 	]+\{nf\} sub %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 29 8c 80 23 01 00 00[ 	]+\{nf\} sub %r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 29 8c 80 23 01 00 00[ 	]+\{nf\} sub %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 2a 9c 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 2a 9c 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 2b 94 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 2b 94 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 2b 8c 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 2b 8c 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 2b 8c 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 2b 8c 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f4 c2[ 	]+\{nf\} tzcnt %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f4 d1[ 	]+\{nf\} tzcnt %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 44 fc 0c f4 f9[ 	]+\{nf\} tzcnt %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f4 94 80 23 01 00 00[ 	]+\{nf\} tzcnt 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f4 8c 80 23 01 00 00[ 	]+\{nf\} tzcnt 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c f4 8c 80 23 01 00 00[ 	]+\{nf\} tzcnt 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 80 f3 7b[ 	]+\{nf\} xor \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 80 f3 7b[ 	]+\{nf\} xor \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 83 f2 7b[ 	]+\{nf\} xor \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 83 f2 7b[ 	]+\{nf\} xor \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 83 f1 7b[ 	]+\{nf\} xor \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 83 f1 7b[ 	]+\{nf\} xor \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 f1 7b[ 	]+\{nf\} xor \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 83 f1 7b[ 	]+\{nf\} xor \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 80 b4 80 23 01 00 00 7b[ 	]+\{nf\} xorb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c 80 b4 80 23 01 00 00 7b[ 	]+\{nf\} xor \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 83 b4 80 23 01 00 00 7b[ 	]+\{nf\} xorw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c 83 b4 80 23 01 00 00 7b[ 	]+\{nf\} xor \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 83 b4 80 23 01 00 00 7b[ 	]+\{nf\} xorl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c 83 b4 80 23 01 00 00 7b[ 	]+\{nf\} xor \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 b4 80 23 01 00 00 7b[ 	]+\{nf\} xorq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c 83 b4 80 23 01 00 00 7b[ 	]+\{nf\} xor \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 30 da[ 	]+\{nf\} xor %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 3c 1c 30 da[ 	]+\{nf\} xor %bl,%dl,%r8b
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 30 9c 80 23 01 00 00[ 	]+\{nf\} xor %bl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 30 9c 80 23 01 00 00[ 	]+\{nf\} xor %bl,0x123\(%r8,%rax,4\),%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 31 d0[ 	]+\{nf\} xor %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 31 d0[ 	]+\{nf\} xor %dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 31 94 80 23 01 00 00[ 	]+\{nf\} xor %dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 31 94 80 23 01 00 00[ 	]+\{nf\} xor %dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 31 ca[ 	]+\{nf\} xor %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c 31 ca[ 	]+\{nf\} xor %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 31 8c 80 23 01 00 00[ 	]+\{nf\} xor %ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 31 8c 80 23 01 00 00[ 	]+\{nf\} xor %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c 31 cf[ 	]+\{nf\} xor %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c 31 cf[ 	]+\{nf\} xor %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 31 8c 80 23 01 00 00[ 	]+\{nf\} xor %r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 31 8c 80 23 01 00 00[ 	]+\{nf\} xor %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 32 9c 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 32 9c 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 33 94 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 33 94 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 33 8c 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 33 8c 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 33 8c 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 33 8c 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%r9,%r31
+
+0[0-9a-f]+ <intel>:
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 80 c3 7b[ 	]+\{nf\} add \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 80 c3 7b[ 	]+\{nf\} add \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 83 c2 7b[ 	]+\{nf\} add \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 83 c2 7b[ 	]+\{nf\} add \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 83 c1 7b[ 	]+\{nf\} add \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 83 c1 7b[ 	]+\{nf\} add \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 c1 7b[ 	]+\{nf\} add \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 83 c1 7b[ 	]+\{nf\} add \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 80 84 80 23 01 00 00 7b[ 	]+\{nf\} addb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c 80 84 80 23 01 00 00 7b[ 	]+\{nf\} add \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 83 84 80 23 01 00 00 7b[ 	]+\{nf\} addw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c 83 84 80 23 01 00 00 7b[ 	]+\{nf\} add \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 83 84 80 23 01 00 00 7b[ 	]+\{nf\} addl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c 83 84 80 23 01 00 00 7b[ 	]+\{nf\} add \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 84 80 23 01 00 00 7b[ 	]+\{nf\} addq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c 83 84 80 23 01 00 00 7b[ 	]+\{nf\} add \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 00 da[ 	]+\{nf\} add %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 3c 1c 00 da[ 	]+\{nf\} add %bl,%dl,%r8b
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 00 9c 80 23 01 00 00[ 	]+\{nf\} add %bl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 00 9c 80 23 01 00 00[ 	]+\{nf\} add %bl,0x123\(%r8,%rax,4\),%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 01 d0[ 	]+\{nf\} add %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 01 d0[ 	]+\{nf\} add %dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 01 94 80 23 01 00 00[ 	]+\{nf\} add %dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 01 94 80 23 01 00 00[ 	]+\{nf\} add %dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 01 ca[ 	]+\{nf\} add %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c 01 ca[ 	]+\{nf\} add %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 01 8c 80 23 01 00 00[ 	]+\{nf\} add %ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 01 8c 80 23 01 00 00[ 	]+\{nf\} add %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c 01 cf[ 	]+\{nf\} add %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c 01 cf[ 	]+\{nf\} add %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 01 8c 80 23 01 00 00[ 	]+\{nf\} add %r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 01 8c 80 23 01 00 00[ 	]+\{nf\} add %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 02 9c 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 02 9c 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 03 94 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 03 94 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 03 8c 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 03 8c 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 03 8c 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 03 8c 80 23 01 00 00[ 	]+\{nf\} add 0x123\(%r8,%rax,4\),%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 80 e3 7b[ 	]+\{nf\} and \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 80 e3 7b[ 	]+\{nf\} and \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 83 e2 7b[ 	]+\{nf\} and \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 83 e2 7b[ 	]+\{nf\} and \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 83 e1 7b[ 	]+\{nf\} and \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 83 e1 7b[ 	]+\{nf\} and \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 e1 7b[ 	]+\{nf\} and \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 83 e1 7b[ 	]+\{nf\} and \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 80 a4 80 23 01 00 00 7b[ 	]+\{nf\} andb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c 80 a4 80 23 01 00 00 7b[ 	]+\{nf\} and \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 83 a4 80 23 01 00 00 7b[ 	]+\{nf\} andw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c 83 a4 80 23 01 00 00 7b[ 	]+\{nf\} and \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 83 a4 80 23 01 00 00 7b[ 	]+\{nf\} andl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c 83 a4 80 23 01 00 00 7b[ 	]+\{nf\} and \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 a4 80 23 01 00 00 7b[ 	]+\{nf\} andq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c 83 a4 80 23 01 00 00 7b[ 	]+\{nf\} and \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 20 da[ 	]+\{nf\} and %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 3c 1c 20 da[ 	]+\{nf\} and %bl,%dl,%r8b
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 20 9c 80 23 01 00 00[ 	]+\{nf\} and %bl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 20 9c 80 23 01 00 00[ 	]+\{nf\} and %bl,0x123\(%r8,%rax,4\),%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 21 d0[ 	]+\{nf\} and %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 21 d0[ 	]+\{nf\} and %dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 21 94 80 23 01 00 00[ 	]+\{nf\} and %dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 21 94 80 23 01 00 00[ 	]+\{nf\} and %dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 21 ca[ 	]+\{nf\} and %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c 21 ca[ 	]+\{nf\} and %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 21 8c 80 23 01 00 00[ 	]+\{nf\} and %ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 21 8c 80 23 01 00 00[ 	]+\{nf\} and %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c 21 cf[ 	]+\{nf\} and %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c 21 cf[ 	]+\{nf\} and %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 21 8c 80 23 01 00 00[ 	]+\{nf\} and %r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 21 8c 80 23 01 00 00[ 	]+\{nf\} and %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 22 9c 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 22 9c 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 23 94 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 23 94 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 23 8c 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 23 8c 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 23 8c 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 23 8c 80 23 01 00 00[ 	]+\{nf\} and 0x123\(%r8,%rax,4\),%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 72 6c 0c f2 d1[ 	]+\{nf\} andn %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 52 84 04 f2 d9[ 	]+\{nf\} andn %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 d2 74 0c f2 94 80 23 01 00 00[ 	]+\{nf\} andn 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 42 b4 0c f2 bc 80 23 01 00 00[ 	]+\{nf\} andn 0x123\(%r8,%rax,4\),%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 72 74 0c f7 d2[ 	]+\{nf\} bextr %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d2 74 0c f7 94 80 23 01 00 00[ 	]+\{nf\} bextr %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5a b4 0c f7 df[ 	]+\{nf\} bextr %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 42 b4 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} bextr %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f2 6c 0c f3 d9[ 	]+\{nf\} blsi %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d2 84 04 f3 d9[ 	]+\{nf\} blsi %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d2 74 0c f3 9c 80 23 01 00 00[ 	]+\{nf\} blsi 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d2 b4 0c f3 9c 80 23 01 00 00[ 	]+\{nf\} blsi 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f2 6c 0c f3 d1[ 	]+\{nf\} blsmsk %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d2 84 04 f3 d1[ 	]+\{nf\} blsmsk %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d2 74 0c f3 94 80 23 01 00 00[ 	]+\{nf\} blsmsk 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d2 b4 0c f3 94 80 23 01 00 00[ 	]+\{nf\} blsmsk 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f2 6c 0c f3 c9[ 	]+\{nf\} blsr %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d2 84 04 f3 c9[ 	]+\{nf\} blsr %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d2 74 0c f3 8c 80 23 01 00 00[ 	]+\{nf\} blsr 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d2 b4 0c f3 8c 80 23 01 00 00[ 	]+\{nf\} blsr 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 72 74 0c f5 d2[ 	]+\{nf\} bzhi %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d2 74 0c f5 94 80 23 01 00 00[ 	]+\{nf\} bzhi %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5a b4 0c f5 df[ 	]+\{nf\} bzhi %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 42 b4 0c f5 bc 80 23 01 00 00[ 	]+\{nf\} bzhi %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 4c fc 0c 31 ff[ 	]+\{nf\} xor %r31,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c fe cb[ 	]+\{nf\} dec %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c fe cb[ 	]+\{nf\} dec %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c ff ca[ 	]+\{nf\} dec %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c ff ca[ 	]+\{nf\} dec %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c ff c9[ 	]+\{nf\} dec %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c ff c9[ 	]+\{nf\} dec %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c ff c9[ 	]+\{nf\} dec %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 ff c9[ 	]+\{nf\} dec %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c fe 8c 80 23 01 00 00[ 	]+\{nf\} decb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c fe 8c 80 23 01 00 00[ 	]+\{nf\} dec 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c ff 8c 80 23 01 00 00[ 	]+\{nf\} decw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c ff 8c 80 23 01 00 00[ 	]+\{nf\} dec 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c ff 8c 80 23 01 00 00[ 	]+\{nf\} decl 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c ff 8c 80 23 01 00 00[ 	]+\{nf\} dec 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c ff 8c 80 23 01 00 00[ 	]+\{nf\} decq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c ff 8c 80 23 01 00 00[ 	]+\{nf\} dec 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 f3[ 	]+\{nf\} div %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 f2[ 	]+\{nf\} div %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 f1[ 	]+\{nf\} div %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 f1[ 	]+\{nf\} div %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 b4 80 23 01 00 00[ 	]+\{nf\} divb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 b4 80 23 01 00 00[ 	]+\{nf\} divw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f7 b4 80 23 01 00 00[ 	]+\{nf\} divl 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 b4 80 23 01 00 00[ 	]+\{nf\} divq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 fb[ 	]+\{nf\} idiv %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 fb[ 	]+\{nf\} idiv %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 fa[ 	]+\{nf\} idiv %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 fa[ 	]+\{nf\} idiv %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 f9[ 	]+\{nf\} idiv %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 f9[ 	]+\{nf\} idiv %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 f9[ 	]+\{nf\} idiv %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 f9[ 	]+\{nf\} idiv %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 bc 80 23 01 00 00[ 	]+\{nf\} idivb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 bc 80 23 01 00 00[ 	]+\{nf\} idivb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} idivw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} idivw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} idivl 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} idivl 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} idivq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 bc 80 23 01 00 00[ 	]+\{nf\} idivq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 eb[ 	]+\{nf\} imul %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 ea[ 	]+\{nf\} imul %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c af c2[ 	]+\{nf\} imul %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c af c2[ 	]+\{nf\} imul %dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 e9[ 	]+\{nf\} imul %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c af d1[ 	]+\{nf\} imul %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c af d1[ 	]+\{nf\} imul %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 e9[ 	]+\{nf\} imul %r9
+[ 	]*[a-f0-9]+:[ 	]*62 44 fc 0c af f9[ 	]+\{nf\} imul %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 44 a4 1c af f9[ 	]+\{nf\} imul %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 ac 80 23 01 00 00[ 	]+\{nf\} imulb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 ac 80 23 01 00 00[ 	]+\{nf\} imulw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c af 94 80 23 01 00 00[ 	]+\{nf\} imul 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c af 94 80 23 01 00 00[ 	]+\{nf\} imul 0x123\(%r8,%rax,4\),%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f7 ac 80 23 01 00 00[ 	]+\{nf\} imull 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c af 8c 80 23 01 00 00[ 	]+\{nf\} imul 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c af 8c 80 23 01 00 00[ 	]+\{nf\} imul 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 ac 80 23 01 00 00[ 	]+\{nf\} imulq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c af 8c 80 23 01 00 00[ 	]+\{nf\} imul 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 af 8c 80 23 01 00 00[ 	]+\{nf\} imul 0x123\(%r8,%rax,4\),%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c fe c3[ 	]+\{nf\} inc %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c fe c3[ 	]+\{nf\} inc %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c ff c2[ 	]+\{nf\} inc %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c ff c2[ 	]+\{nf\} inc %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c ff c1[ 	]+\{nf\} inc %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c ff c1[ 	]+\{nf\} inc %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c ff c1[ 	]+\{nf\} inc %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 ff c1[ 	]+\{nf\} inc %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c fe 84 80 23 01 00 00[ 	]+\{nf\} incb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c fe 84 80 23 01 00 00[ 	]+\{nf\} inc 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c ff 84 80 23 01 00 00[ 	]+\{nf\} incw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c ff 84 80 23 01 00 00[ 	]+\{nf\} inc 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c ff 84 80 23 01 00 00[ 	]+\{nf\} incl 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c ff 84 80 23 01 00 00[ 	]+\{nf\} inc 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c ff 84 80 23 01 00 00[ 	]+\{nf\} incq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c ff 84 80 23 01 00 00[ 	]+\{nf\} inc 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f5 c2[ 	]+\{nf\} lzcnt %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f5 d1[ 	]+\{nf\} lzcnt %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 44 fc 0c f5 f9[ 	]+\{nf\} lzcnt %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f5 94 80 23 01 00 00[ 	]+\{nf\} lzcnt 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f5 8c 80 23 01 00 00[ 	]+\{nf\} lzcnt 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c f5 8c 80 23 01 00 00[ 	]+\{nf\} lzcnt 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 e3[ 	]+\{nf\} mul %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 e2[ 	]+\{nf\} mul %dx
+[ 	]*[a-f0-9]+:[ 	]*c4 e2 7b f6 d0[ 	]+mulx[ 	]+%eax,%eax,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 e1[ 	]+\{nf\} mul %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 a4 80 23 01 00 00[ 	]+\{nf\} mulb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 a4 80 23 01 00 00[ 	]+\{nf\} mulw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f7 a4 80 23 01 00 00[ 	]+\{nf\} mull 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 a4 80 23 01 00 00[ 	]+\{nf\} mulq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 db[ 	]+\{nf\} neg %bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c f6 db[ 	]+\{nf\} neg %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 da[ 	]+\{nf\} neg %dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c f7 da[ 	]+\{nf\} neg %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 d9[ 	]+\{nf\} neg %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c f7 d9[ 	]+\{nf\} neg %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 d9[ 	]+\{nf\} neg %r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 f7 d9[ 	]+\{nf\} neg %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 9c 80 23 01 00 00[ 	]+\{nf\} negb 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c f6 9c 80 23 01 00 00[ 	]+\{nf\} neg 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 9c 80 23 01 00 00[ 	]+\{nf\} negw 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c f7 9c 80 23 01 00 00[ 	]+\{nf\} neg 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f7 9c 80 23 01 00 00[ 	]+\{nf\} negl 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c f7 9c 80 23 01 00 00[ 	]+\{nf\} neg 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 9c 80 23 01 00 00[ 	]+\{nf\} negq 0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c f7 9c 80 23 01 00 00[ 	]+\{nf\} neg 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 80 cb 7b[ 	]+\{nf\} or \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 80 cb 7b[ 	]+\{nf\} or \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 83 ca 7b[ 	]+\{nf\} or \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 83 ca 7b[ 	]+\{nf\} or \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 83 c9 7b[ 	]+\{nf\} or \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 83 c9 7b[ 	]+\{nf\} or \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 c9 7b[ 	]+\{nf\} or \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 83 c9 7b[ 	]+\{nf\} or \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 80 8c 80 23 01 00 00 7b[ 	]+\{nf\} orb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c 80 8c 80 23 01 00 00 7b[ 	]+\{nf\} or \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 83 8c 80 23 01 00 00 7b[ 	]+\{nf\} orw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c 83 8c 80 23 01 00 00 7b[ 	]+\{nf\} or \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 83 8c 80 23 01 00 00 7b[ 	]+\{nf\} orl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c 83 8c 80 23 01 00 00 7b[ 	]+\{nf\} or \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 8c 80 23 01 00 00 7b[ 	]+\{nf\} orq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c 83 8c 80 23 01 00 00 7b[ 	]+\{nf\} or \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 08 da[ 	]+\{nf\} or %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 3c 1c 08 da[ 	]+\{nf\} or %bl,%dl,%r8b
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 08 9c 80 23 01 00 00[ 	]+\{nf\} or %bl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 08 9c 80 23 01 00 00[ 	]+\{nf\} or %bl,0x123\(%r8,%rax,4\),%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 09 d0[ 	]+\{nf\} or %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 09 d0[ 	]+\{nf\} or %dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 09 94 80 23 01 00 00[ 	]+\{nf\} or %dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 09 94 80 23 01 00 00[ 	]+\{nf\} or %dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 09 ca[ 	]+\{nf\} or %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c 09 ca[ 	]+\{nf\} or %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 09 8c 80 23 01 00 00[ 	]+\{nf\} or %ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 09 8c 80 23 01 00 00[ 	]+\{nf\} or %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c 09 cf[ 	]+\{nf\} or %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c 09 cf[ 	]+\{nf\} or %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 09 8c 80 23 01 00 00[ 	]+\{nf\} or %r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 09 8c 80 23 01 00 00[ 	]+\{nf\} or %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 0a 9c 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 0a 9c 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 0b 94 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 0b 94 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 0b 8c 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 0b 8c 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 0b 8c 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 0b 8c 80 23 01 00 00[ 	]+\{nf\} or 0x123\(%r8,%rax,4\),%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 88 c2[ 	]+\{nf\} popcnt %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 88 d1[ 	]+\{nf\} popcnt %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 44 fc 0c 88 f9[ 	]+\{nf\} popcnt %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 88 94 80 23 01 00 00[ 	]+\{nf\} popcnt 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 88 8c 80 23 01 00 00[ 	]+\{nf\} popcnt 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 88 8c 80 23 01 00 00[ 	]+\{nf\} popcnt 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d0 c3[ 	]+\{nf\} rol \$1,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d0 c3[ 	]+\{nf\} rol \$1,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d1 c2[ 	]+\{nf\} rol \$1,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d1 c2[ 	]+\{nf\} rol \$1,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d1 c1[ 	]+\{nf\} rol \$1,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d1 c1[ 	]+\{nf\} rol \$1,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 c1[ 	]+\{nf\} rol \$1,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d1 c1[ 	]+\{nf\} rol \$1,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d0 84 80 23 01 00 00[ 	]+\{nf\} rolb \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d0 84 80 23 01 00 00[ 	]+\{nf\} rol \$1,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d1 84 80 23 01 00 00[ 	]+\{nf\} rolw \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d1 84 80 23 01 00 00[ 	]+\{nf\} rol \$1,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d1 84 80 23 01 00 00[ 	]+\{nf\} roll \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d1 84 80 23 01 00 00[ 	]+\{nf\} rol \$1,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 84 80 23 01 00 00[ 	]+\{nf\} rolq \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d1 84 80 23 01 00 00[ 	]+\{nf\} rol \$1,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c0 c3 7b[ 	]+\{nf\} rol \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c0 c3 7b[ 	]+\{nf\} rol \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 c2 7b[ 	]+\{nf\} rol \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c c1 c2 7b[ 	]+\{nf\} rol \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*c4 e3 7b f0 c9 a5[ 	]+rorx[ 	]+\$0xa5,%ecx,%ecx
+[ 	]*[a-f0-9]+:[ 	]*c4 e3 7b f0 d1 a5[ 	]+rorx[ 	]+\$0xa5,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*c4 43 fb f0 c9 c5[ 	]+rorx[ 	]+\$0xc5,%r9,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 c1 c1 7b[ 	]+\{nf\} rol \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c0 84 80 23 01 00 00 7b[ 	]+\{nf\} rolb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c c0 84 80 23 01 00 00 7b[ 	]+\{nf\} rol \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c c1 84 80 23 01 00 00 7b[ 	]+\{nf\} rolw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c c1 84 80 23 01 00 00 7b[ 	]+\{nf\} rol \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c1 84 80 23 01 00 00 7b[ 	]+\{nf\} roll \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*c4 c3 7b f0 8c 80 23 01 00 00 a5[ 	]+rorx[ 	]+\$0xa5,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 84 80 23 01 00 00 7b[ 	]+\{nf\} rolq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*c4 43 fb f0 8c 80 23 01 00 00 c5[ 	]+rorx[ 	]+\$0xc5,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d2 c3[ 	]+\{nf\} rol %cl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d2 c3[ 	]+\{nf\} rol %cl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d3 c2[ 	]+\{nf\} rol %cl,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d3 c2[ 	]+\{nf\} rol %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d3 c1[ 	]+\{nf\} rol %cl,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d3 c1[ 	]+\{nf\} rol %cl,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 c1[ 	]+\{nf\} rol %cl,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d3 c1[ 	]+\{nf\} rol %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d2 84 80 23 01 00 00[ 	]+\{nf\} rolb %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d2 84 80 23 01 00 00[ 	]+\{nf\} rol %cl,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d3 84 80 23 01 00 00[ 	]+\{nf\} rolw %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d3 84 80 23 01 00 00[ 	]+\{nf\} rol %cl,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d3 84 80 23 01 00 00[ 	]+\{nf\} roll %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d3 84 80 23 01 00 00[ 	]+\{nf\} rol %cl,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 84 80 23 01 00 00[ 	]+\{nf\} rolq %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d3 84 80 23 01 00 00[ 	]+\{nf\} rol %cl,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d0 cb[ 	]+\{nf\} ror \$1,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d0 cb[ 	]+\{nf\} ror \$1,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d1 ca[ 	]+\{nf\} ror \$1,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d1 ca[ 	]+\{nf\} ror \$1,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d1 c9[ 	]+\{nf\} ror \$1,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d1 c9[ 	]+\{nf\} ror \$1,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 c9[ 	]+\{nf\} ror \$1,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d1 c9[ 	]+\{nf\} ror \$1,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d0 8c 80 23 01 00 00[ 	]+\{nf\} rorb \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d0 8c 80 23 01 00 00[ 	]+\{nf\} ror \$1,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d1 8c 80 23 01 00 00[ 	]+\{nf\} rorw \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d1 8c 80 23 01 00 00[ 	]+\{nf\} ror \$1,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d1 8c 80 23 01 00 00[ 	]+\{nf\} rorl \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d1 8c 80 23 01 00 00[ 	]+\{nf\} ror \$1,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 8c 80 23 01 00 00[ 	]+\{nf\} rorq \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d1 8c 80 23 01 00 00[ 	]+\{nf\} ror \$1,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c0 cb 7b[ 	]+\{nf\} ror \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c0 cb 7b[ 	]+\{nf\} ror \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 ca 7b[ 	]+\{nf\} ror \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c c1 ca 7b[ 	]+\{nf\} ror \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*c4 e3 7b f0 c9 7b[ 	]+rorx[ 	]+\$0x7b,%ecx,%ecx
+[ 	]*[a-f0-9]+:[ 	]*c4 e3 7b f0 d1 7b[ 	]+rorx[ 	]+\$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*c4 43 fb f0 c9 7b[ 	]+rorx[ 	]+\$0x7b,%r9,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 c1 c9 7b[ 	]+\{nf\} ror \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c0 8c 80 23 01 00 00 7b[ 	]+\{nf\} rorb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c c0 8c 80 23 01 00 00 7b[ 	]+\{nf\} ror \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c c1 8c 80 23 01 00 00 7b[ 	]+\{nf\} rorw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c c1 8c 80 23 01 00 00 7b[ 	]+\{nf\} ror \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c1 8c 80 23 01 00 00 7b[ 	]+\{nf\} rorl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*c4 c3 7b f0 8c 80 23 01 00 00 7b[ 	]+rorx[ 	]+\$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 8c 80 23 01 00 00 7b[ 	]+\{nf\} rorq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*c4 43 fb f0 8c 80 23 01 00 00 7b[ 	]+rorx[ 	]+\$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d2 cb[ 	]+\{nf\} ror %cl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d2 cb[ 	]+\{nf\} ror %cl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d3 ca[ 	]+\{nf\} ror %cl,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d3 ca[ 	]+\{nf\} ror %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d3 c9[ 	]+\{nf\} ror %cl,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d3 c9[ 	]+\{nf\} ror %cl,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 c9[ 	]+\{nf\} ror %cl,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d3 c9[ 	]+\{nf\} ror %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d2 8c 80 23 01 00 00[ 	]+\{nf\} rorb %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d2 8c 80 23 01 00 00[ 	]+\{nf\} ror %cl,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d3 8c 80 23 01 00 00[ 	]+\{nf\} rorw %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d3 8c 80 23 01 00 00[ 	]+\{nf\} ror %cl,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d3 8c 80 23 01 00 00[ 	]+\{nf\} rorl %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d3 8c 80 23 01 00 00[ 	]+\{nf\} ror %cl,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 8c 80 23 01 00 00[ 	]+\{nf\} rorq %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d3 8c 80 23 01 00 00[ 	]+\{nf\} ror %cl,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 00 db[ 	]+\{nf\} add %bl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 00 db[ 	]+\{nf\} add %bl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 01 d2[ 	]+\{nf\} add %dx,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 01 d2[ 	]+\{nf\} add %dx,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 01 c9[ 	]+\{nf\} add %ecx,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 01 c9[ 	]+\{nf\} add %ecx,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 01 c9[ 	]+\{nf\} add %r9,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 01 c9[ 	]+\{nf\} add %r9,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d0 a4 80 23 01 00 00[ 	]+\{nf\} shlb \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d0 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d1 a4 80 23 01 00 00[ 	]+\{nf\} shlw \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d1 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d1 a4 80 23 01 00 00[ 	]+\{nf\} shll \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d1 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 a4 80 23 01 00 00[ 	]+\{nf\} shlq \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d1 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c0 e3 7b[ 	]+\{nf\} shl \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c0 e3 7b[ 	]+\{nf\} shl \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 e2 7b[ 	]+\{nf\} shl \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c c1 e2 7b[ 	]+\{nf\} shl \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c0 a4 80 23 01 00 00 7b[ 	]+\{nf\} shlb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c c0 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shlw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shll \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shlq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d2 e3[ 	]+\{nf\} shl %cl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d2 e3[ 	]+\{nf\} shl %cl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d3 e2[ 	]+\{nf\} shl %cl,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d3 e2[ 	]+\{nf\} shl %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*c4 e2 71 f7 c9[ 	]+shlx[ 	]+%ecx,%ecx,%ecx
+[ 	]*[a-f0-9]+:[ 	]*c4 e2 71 f7 d1[ 	]+shlx[ 	]+%ecx,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*c4 42 f1 f7 c9[ 	]+shlx[ 	]+%rcx,%r9,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d3 e1[ 	]+\{nf\} shl %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d2 a4 80 23 01 00 00[ 	]+\{nf\} shlb %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d2 a4 80 23 01 00 00[ 	]+\{nf\} shl %cl,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d3 a4 80 23 01 00 00[ 	]+\{nf\} shlw %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d3 a4 80 23 01 00 00[ 	]+\{nf\} shl %cl,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d3 a4 80 23 01 00 00[ 	]+\{nf\} shll %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*c4 c2 71 f7 8c 80 23 01 00 00[ 	]+shlx[ 	]+%ecx,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 a4 80 23 01 00 00[ 	]+\{nf\} shlq %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*c4 42 f1 f7 8c 80 23 01 00 00[ 	]+shlx[ 	]+%rcx,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d0 fb[ 	]+\{nf\} sar \$1,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d0 fb[ 	]+\{nf\} sar \$1,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d1 fa[ 	]+\{nf\} sar \$1,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d1 fa[ 	]+\{nf\} sar \$1,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d1 f9[ 	]+\{nf\} sar \$1,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d1 f9[ 	]+\{nf\} sar \$1,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 f9[ 	]+\{nf\} sar \$1,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d1 f9[ 	]+\{nf\} sar \$1,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d0 bc 80 23 01 00 00[ 	]+\{nf\} sarb \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d0 bc 80 23 01 00 00[ 	]+\{nf\} sar \$1,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d1 bc 80 23 01 00 00[ 	]+\{nf\} sarw \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d1 bc 80 23 01 00 00[ 	]+\{nf\} sar \$1,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d1 bc 80 23 01 00 00[ 	]+\{nf\} sarl \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d1 bc 80 23 01 00 00[ 	]+\{nf\} sar \$1,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 bc 80 23 01 00 00[ 	]+\{nf\} sarq \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d1 bc 80 23 01 00 00[ 	]+\{nf\} sar \$1,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c0 fb 7b[ 	]+\{nf\} sar \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c0 fb 7b[ 	]+\{nf\} sar \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 fa 7b[ 	]+\{nf\} sar \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c c1 fa 7b[ 	]+\{nf\} sar \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c1 f9 7b[ 	]+\{nf\} sar \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c1 f9 7b[ 	]+\{nf\} sar \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 f9 7b[ 	]+\{nf\} sar \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 c1 f9 7b[ 	]+\{nf\} sar \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c0 bc 80 23 01 00 00 7b[ 	]+\{nf\} sarb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c c0 bc 80 23 01 00 00 7b[ 	]+\{nf\} sar \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c c1 bc 80 23 01 00 00 7b[ 	]+\{nf\} sarw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c c1 bc 80 23 01 00 00 7b[ 	]+\{nf\} sar \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c1 bc 80 23 01 00 00 7b[ 	]+\{nf\} sarl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c c1 bc 80 23 01 00 00 7b[ 	]+\{nf\} sar \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 bc 80 23 01 00 00 7b[ 	]+\{nf\} sarq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c c1 bc 80 23 01 00 00 7b[ 	]+\{nf\} sar \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d2 fb[ 	]+\{nf\} sar %cl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d2 fb[ 	]+\{nf\} sar %cl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d3 fa[ 	]+\{nf\} sar %cl,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d3 fa[ 	]+\{nf\} sar %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*c4 e2 72 f7 c9[ 	]+sarx[ 	]+%ecx,%ecx,%ecx
+[ 	]*[a-f0-9]+:[ 	]*c4 e2 72 f7 d1[ 	]+sarx[ 	]+%ecx,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*c4 42 f2 f7 c9[ 	]+sarx[ 	]+%rcx,%r9,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d3 f9[ 	]+\{nf\} sar %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d2 bc 80 23 01 00 00[ 	]+\{nf\} sarb %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d2 bc 80 23 01 00 00[ 	]+\{nf\} sar %cl,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d3 bc 80 23 01 00 00[ 	]+\{nf\} sarw %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d3 bc 80 23 01 00 00[ 	]+\{nf\} sar %cl,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d3 bc 80 23 01 00 00[ 	]+\{nf\} sarl %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*c4 c2 72 f7 8c 80 23 01 00 00[ 	]+sarx[ 	]+%ecx,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 bc 80 23 01 00 00[ 	]+\{nf\} sarq %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*c4 42 f2 f7 8c 80 23 01 00 00[ 	]+sarx[ 	]+%rcx,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 00 db[ 	]+\{nf\} add %bl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 00 db[ 	]+\{nf\} add %bl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 01 d2[ 	]+\{nf\} add %dx,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 01 d2[ 	]+\{nf\} add %dx,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 01 c9[ 	]+\{nf\} add %ecx,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 01 c9[ 	]+\{nf\} add %ecx,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 01 c9[ 	]+\{nf\} add %r9,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 01 c9[ 	]+\{nf\} add %r9,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d0 a4 80 23 01 00 00[ 	]+\{nf\} shlb \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d0 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d1 a4 80 23 01 00 00[ 	]+\{nf\} shlw \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d1 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d1 a4 80 23 01 00 00[ 	]+\{nf\} shll \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d1 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 a4 80 23 01 00 00[ 	]+\{nf\} shlq \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d1 a4 80 23 01 00 00[ 	]+\{nf\} shl \$1,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c0 e3 7b[ 	]+\{nf\} shl \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c0 e3 7b[ 	]+\{nf\} shl \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 e2 7b[ 	]+\{nf\} shl \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c c1 e2 7b[ 	]+\{nf\} shl \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 c1 e1 7b[ 	]+\{nf\} shl \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c0 a4 80 23 01 00 00 7b[ 	]+\{nf\} shlb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c c0 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shlw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shll \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shlq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c c1 a4 80 23 01 00 00 7b[ 	]+\{nf\} shl \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d2 e3[ 	]+\{nf\} shl %cl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d2 e3[ 	]+\{nf\} shl %cl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d3 e2[ 	]+\{nf\} shl %cl,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d3 e2[ 	]+\{nf\} shl %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*c4 e2 71 f7 c9[ 	]+shlx[ 	]+%ecx,%ecx,%ecx
+[ 	]*[a-f0-9]+:[ 	]*c4 e2 71 f7 d1[ 	]+shlx[ 	]+%ecx,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*c4 42 f1 f7 c9[ 	]+shlx[ 	]+%rcx,%r9,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d3 e1[ 	]+\{nf\} shl %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d2 a4 80 23 01 00 00[ 	]+\{nf\} shlb %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d2 a4 80 23 01 00 00[ 	]+\{nf\} shl %cl,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d3 a4 80 23 01 00 00[ 	]+\{nf\} shlw %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d3 a4 80 23 01 00 00[ 	]+\{nf\} shl %cl,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d3 a4 80 23 01 00 00[ 	]+\{nf\} shll %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*c4 c2 71 f7 8c 80 23 01 00 00[ 	]+shlx[ 	]+%ecx,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 a4 80 23 01 00 00[ 	]+\{nf\} shlq %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*c4 42 f1 f7 8c 80 23 01 00 00[ 	]+shlx[ 	]+%rcx,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 24 d0 7b[ 	]+\{nf\} shld \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 24 d0 7b[ 	]+\{nf\} shld \$0x7b,%dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 24 94 80 23 01 00 00 7b[ 	]+\{nf\} shld \$0x7b,%dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 24 94 80 23 01 00 00 7b[ 	]+\{nf\} shld \$0x7b,%dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 24 ca 7b[ 	]+\{nf\} shld \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c 24 ca 7b[ 	]+\{nf\} shld \$0x7b,%ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 24 8c 80 23 01 00 00 7b[ 	]+\{nf\} shld \$0x7b,%ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 24 8c 80 23 01 00 00 7b[ 	]+\{nf\} shld \$0x7b,%ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c 24 cf 7b[ 	]+\{nf\} shld \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c 24 cf 7b[ 	]+\{nf\} shld \$0x7b,%r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 24 8c 80 23 01 00 00 7b[ 	]+\{nf\} shld \$0x7b,%r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 24 8c 80 23 01 00 00 7b[ 	]+\{nf\} shld \$0x7b,%r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c a5 d0[ 	]+\{nf\} shld %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c a5 d0[ 	]+\{nf\} shld %cl,%dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c a5 94 80 23 01 00 00[ 	]+\{nf\} shld %cl,%dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c a5 94 80 23 01 00 00[ 	]+\{nf\} shld %cl,%dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c a5 ca[ 	]+\{nf\} shld %cl,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c a5 ca[ 	]+\{nf\} shld %cl,%ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c a5 8c 80 23 01 00 00[ 	]+\{nf\} shld %cl,%ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c a5 8c 80 23 01 00 00[ 	]+\{nf\} shld %cl,%ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c a5 cf[ 	]+\{nf\} shld %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c a5 cf[ 	]+\{nf\} shld %cl,%r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c a5 8c 80 23 01 00 00[ 	]+\{nf\} shld %cl,%r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 a5 8c 80 23 01 00 00[ 	]+\{nf\} shld %cl,%r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d0 eb[ 	]+\{nf\} shr \$1,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d0 eb[ 	]+\{nf\} shr \$1,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d1 ea[ 	]+\{nf\} shr \$1,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d1 ea[ 	]+\{nf\} shr \$1,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d1 e9[ 	]+\{nf\} shr \$1,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d1 e9[ 	]+\{nf\} shr \$1,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 e9[ 	]+\{nf\} shr \$1,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d1 e9[ 	]+\{nf\} shr \$1,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d0 ac 80 23 01 00 00[ 	]+\{nf\} shrb \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d0 ac 80 23 01 00 00[ 	]+\{nf\} shr \$1,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d1 ac 80 23 01 00 00[ 	]+\{nf\} shrw \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d1 ac 80 23 01 00 00[ 	]+\{nf\} shr \$1,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d1 ac 80 23 01 00 00[ 	]+\{nf\} shrl \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c d1 ac 80 23 01 00 00[ 	]+\{nf\} shr \$1,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d1 ac 80 23 01 00 00[ 	]+\{nf\} shrq \$1,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c d1 ac 80 23 01 00 00[ 	]+\{nf\} shr \$1,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c0 eb 7b[ 	]+\{nf\} shr \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c0 eb 7b[ 	]+\{nf\} shr \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c c1 ea 7b[ 	]+\{nf\} shr \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c c1 ea 7b[ 	]+\{nf\} shr \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c c1 e9 7b[ 	]+\{nf\} shr \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c c1 e9 7b[ 	]+\{nf\} shr \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 e9 7b[ 	]+\{nf\} shr \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 c1 e9 7b[ 	]+\{nf\} shr \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c0 ac 80 23 01 00 00 7b[ 	]+\{nf\} shrb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c c0 ac 80 23 01 00 00 7b[ 	]+\{nf\} shr \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c c1 ac 80 23 01 00 00 7b[ 	]+\{nf\} shrw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c c1 ac 80 23 01 00 00 7b[ 	]+\{nf\} shr \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c c1 ac 80 23 01 00 00 7b[ 	]+\{nf\} shrl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c c1 ac 80 23 01 00 00 7b[ 	]+\{nf\} shr \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c c1 ac 80 23 01 00 00 7b[ 	]+\{nf\} shrq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c c1 ac 80 23 01 00 00 7b[ 	]+\{nf\} shr \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c d2 eb[ 	]+\{nf\} shr %cl,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c d2 eb[ 	]+\{nf\} shr %cl,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c d3 ea[ 	]+\{nf\} shr %cl,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c d3 ea[ 	]+\{nf\} shr %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*c4 e2 73 f7 c9[ 	]+shrx[ 	]+%ecx,%ecx,%ecx
+[ 	]*[a-f0-9]+:[ 	]*c4 e2 73 f7 d1[ 	]+shrx[ 	]+%ecx,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*c4 42 f3 f7 c9[ 	]+shrx[ 	]+%rcx,%r9,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 d3 e9[ 	]+\{nf\} shr %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d2 ac 80 23 01 00 00[ 	]+\{nf\} shrb %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c d2 ac 80 23 01 00 00[ 	]+\{nf\} shr %cl,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c d3 ac 80 23 01 00 00[ 	]+\{nf\} shrw %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c d3 ac 80 23 01 00 00[ 	]+\{nf\} shr %cl,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c d3 ac 80 23 01 00 00[ 	]+\{nf\} shrl %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*c4 c2 73 f7 8c 80 23 01 00 00[ 	]+shrx[ 	]+%ecx,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c d3 ac 80 23 01 00 00[ 	]+\{nf\} shrq %cl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*c4 42 f3 f7 8c 80 23 01 00 00[ 	]+shrx[ 	]+%rcx,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 2c d0 7b[ 	]+\{nf\} shrd \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 2c d0 7b[ 	]+\{nf\} shrd \$0x7b,%dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 2c 94 80 23 01 00 00 7b[ 	]+\{nf\} shrd \$0x7b,%dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 2c 94 80 23 01 00 00 7b[ 	]+\{nf\} shrd \$0x7b,%dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 2c ca 7b[ 	]+\{nf\} shrd \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c 2c ca 7b[ 	]+\{nf\} shrd \$0x7b,%ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 2c 8c 80 23 01 00 00 7b[ 	]+\{nf\} shrd \$0x7b,%ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 2c 8c 80 23 01 00 00 7b[ 	]+\{nf\} shrd \$0x7b,%ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c 2c cf 7b[ 	]+\{nf\} shrd \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c 2c cf 7b[ 	]+\{nf\} shrd \$0x7b,%r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 2c 8c 80 23 01 00 00 7b[ 	]+\{nf\} shrd \$0x7b,%r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 2c 8c 80 23 01 00 00 7b[ 	]+\{nf\} shrd \$0x7b,%r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c ad d0[ 	]+\{nf\} shrd %cl,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c ad d0[ 	]+\{nf\} shrd %cl,%dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c ad 94 80 23 01 00 00[ 	]+\{nf\} shrd %cl,%dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c ad 94 80 23 01 00 00[ 	]+\{nf\} shrd %cl,%dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c ad ca[ 	]+\{nf\} shrd %cl,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c ad ca[ 	]+\{nf\} shrd %cl,%ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c ad 8c 80 23 01 00 00[ 	]+\{nf\} shrd %cl,%ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c ad 8c 80 23 01 00 00[ 	]+\{nf\} shrd %cl,%ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c ad cf[ 	]+\{nf\} shrd %cl,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c ad cf[ 	]+\{nf\} shrd %cl,%r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c ad 8c 80 23 01 00 00[ 	]+\{nf\} shrd %cl,%r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 ad 8c 80 23 01 00 00[ 	]+\{nf\} shrd %cl,%r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 80 eb 7b[ 	]+\{nf\} sub \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 80 eb 7b[ 	]+\{nf\} sub \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 83 ea 7b[ 	]+\{nf\} sub \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 83 ea 7b[ 	]+\{nf\} sub \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 83 e9 7b[ 	]+\{nf\} sub \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 83 e9 7b[ 	]+\{nf\} sub \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 e9 7b[ 	]+\{nf\} sub \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 83 e9 7b[ 	]+\{nf\} sub \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 80 ac 80 23 01 00 00 7b[ 	]+\{nf\} subb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c 80 ac 80 23 01 00 00 7b[ 	]+\{nf\} sub \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 83 ac 80 23 01 00 00 7b[ 	]+\{nf\} subw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c 83 ac 80 23 01 00 00 7b[ 	]+\{nf\} sub \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 83 ac 80 23 01 00 00 7b[ 	]+\{nf\} subl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c 83 ac 80 23 01 00 00 7b[ 	]+\{nf\} sub \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 ac 80 23 01 00 00 7b[ 	]+\{nf\} subq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c 83 ac 80 23 01 00 00 7b[ 	]+\{nf\} sub \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 28 da[ 	]+\{nf\} sub %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 3c 1c 28 da[ 	]+\{nf\} sub %bl,%dl,%r8b
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 28 9c 80 23 01 00 00[ 	]+\{nf\} sub %bl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 28 9c 80 23 01 00 00[ 	]+\{nf\} sub %bl,0x123\(%r8,%rax,4\),%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 29 d0[ 	]+\{nf\} sub %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 29 d0[ 	]+\{nf\} sub %dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 29 94 80 23 01 00 00[ 	]+\{nf\} sub %dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 29 94 80 23 01 00 00[ 	]+\{nf\} sub %dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 29 ca[ 	]+\{nf\} sub %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c 29 ca[ 	]+\{nf\} sub %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 29 8c 80 23 01 00 00[ 	]+\{nf\} sub %ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 29 8c 80 23 01 00 00[ 	]+\{nf\} sub %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c 29 cf[ 	]+\{nf\} sub %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c 29 cf[ 	]+\{nf\} sub %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 29 8c 80 23 01 00 00[ 	]+\{nf\} sub %r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 29 8c 80 23 01 00 00[ 	]+\{nf\} sub %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 2a 9c 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 2a 9c 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 2b 94 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 2b 94 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 2b 8c 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 2b 8c 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 2b 8c 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 2b 8c 80 23 01 00 00[ 	]+\{nf\} sub 0x123\(%r8,%rax,4\),%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f4 c2[ 	]+\{nf\} tzcnt %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f4 d1[ 	]+\{nf\} tzcnt %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 44 fc 0c f4 f9[ 	]+\{nf\} tzcnt %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f4 94 80 23 01 00 00[ 	]+\{nf\} tzcnt 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f4 8c 80 23 01 00 00[ 	]+\{nf\} tzcnt 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c f4 8c 80 23 01 00 00[ 	]+\{nf\} tzcnt 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 80 f3 7b[ 	]+\{nf\} xor \$0x7b,%bl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 80 f3 7b[ 	]+\{nf\} xor \$0x7b,%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 83 f2 7b[ 	]+\{nf\} xor \$0x7b,%dx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 1c 83 f2 7b[ 	]+\{nf\} xor \$0x7b,%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 83 f1 7b[ 	]+\{nf\} xor \$0x7b,%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 6c 1c 83 f1 7b[ 	]+\{nf\} xor \$0x7b,%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 f1 7b[ 	]+\{nf\} xor \$0x7b,%r9
+[ 	]*[a-f0-9]+:[ 	]*62 d4 84 14 83 f1 7b[ 	]+\{nf\} xor \$0x7b,%r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 80 b4 80 23 01 00 00 7b[ 	]+\{nf\} xorb \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 64 1c 80 b4 80 23 01 00 00 7b[ 	]+\{nf\} xor \$0x7b,0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 83 b4 80 23 01 00 00 7b[ 	]+\{nf\} xorw \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6d 1c 83 b4 80 23 01 00 00 7b[ 	]+\{nf\} xor \$0x7b,0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 83 b4 80 23 01 00 00 7b[ 	]+\{nf\} xorl \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 74 1c 83 b4 80 23 01 00 00 7b[ 	]+\{nf\} xor \$0x7b,0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c 83 b4 80 23 01 00 00 7b[ 	]+\{nf\} xorq \$0x7b,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 b4 1c 83 b4 80 23 01 00 00 7b[ 	]+\{nf\} xor \$0x7b,0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 30 da[ 	]+\{nf\} xor %bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 3c 1c 30 da[ 	]+\{nf\} xor %bl,%dl,%r8b
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 30 9c 80 23 01 00 00[ 	]+\{nf\} xor %bl,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 30 9c 80 23 01 00 00[ 	]+\{nf\} xor %bl,0x123\(%r8,%rax,4\),%dl
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c 31 d0[ 	]+\{nf\} xor %dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 35 1c 31 d0[ 	]+\{nf\} xor %dx,%ax,%r9w
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 31 94 80 23 01 00 00[ 	]+\{nf\} xor %dx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 31 94 80 23 01 00 00[ 	]+\{nf\} xor %dx,0x123\(%r8,%rax,4\),%ax
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c 31 ca[ 	]+\{nf\} xor %ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 2c 1c 31 ca[ 	]+\{nf\} xor %ecx,%edx,%r10d
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 31 8c 80 23 01 00 00[ 	]+\{nf\} xor %ecx,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 31 8c 80 23 01 00 00[ 	]+\{nf\} xor %ecx,0x123\(%r8,%rax,4\),%edx
+[ 	]*[a-f0-9]+:[ 	]*62 5c fc 0c 31 cf[ 	]+\{nf\} xor %r9,%r31
+[ 	]*[a-f0-9]+:[ 	]*62 5c a4 1c 31 cf[ 	]+\{nf\} xor %r9,%r31,%r11
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 31 8c 80 23 01 00 00[ 	]+\{nf\} xor %r9,0x123\(%r8,%rax,4\)
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 31 8c 80 23 01 00 00[ 	]+\{nf\} xor %r9,0x123\(%r8,%rax,4\),%r31
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 32 9c 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%bl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 32 9c 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%bl,%dl
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c 33 94 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%dx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7d 1c 33 94 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%dx,%ax
+[ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c 33 8c 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%ecx
+[ 	]*[a-f0-9]+:[ 	]*62 d4 6c 1c 33 8c 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%ecx,%edx
+[ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c 33 8c 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%r9
+[ 	]*[a-f0-9]+:[ 	]*62 54 84 14 33 8c 80 23 01 00 00[ 	]+\{nf\} xor 0x123\(%r8,%rax,4\),%r9,%r31
+#pass
--- a/gas/testsuite/gas/i386/x86-64-apx-nf-optimize-size.d
+++ b/gas/testsuite/gas/i386/x86-64-apx-nf-optimize-size.d
@@ -212,7 +212,7 @@  Disassembly of section \.text:
 [ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 e3[ 	]+\{nf\} mul %bl
 [ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 e2[ 	]+\{nf\} mul %dx
 [ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 e1[ 	]+\{nf\} mul %ecx
-[ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 e1[ 	]+\{nf\} mul %r9
+[ 	]*[a-f0-9]+:[ 	]*62 f4 fc 0c f7 e2[ 	]+\{nf\} mul %rdx
 [ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 a4 80 23 01 00 00[ 	]+\{nf\} mulb 0x123\(%r8,%rax,4\)
 [ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 a4 80 23 01 00 00[ 	]+\{nf\} mulw 0x123\(%r8,%rax,4\)
 [ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f7 a4 80 23 01 00 00[ 	]+\{nf\} mull 0x123\(%r8,%rax,4\)
@@ -892,7 +892,7 @@  Disassembly of section \.text:
 [ 	]*[a-f0-9]+:[ 	]*62 54 fc 0c f5 8c 80 23 01 00 00[ 	]+\{nf\} lzcnt 0x123\(%r8,%rax,4\),%r9
 [ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f6 e3[ 	]+\{nf\} mul %bl
 [ 	]*[a-f0-9]+:[ 	]*62 f4 7d 0c f7 e2[ 	]+\{nf\} mul %dx
-[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 e1[ 	]+\{nf\} mul %ecx
+[ 	]*[a-f0-9]+:[ 	]*62 f4 7c 0c f7 e2[ 	]+\{nf\} mul %edx
 [ 	]*[a-f0-9]+:[ 	]*62 d4 fc 0c f7 e1[ 	]+\{nf\} mul %r9
 [ 	]*[a-f0-9]+:[ 	]*62 d4 7c 0c f6 a4 80 23 01 00 00[ 	]+\{nf\} mulb 0x123\(%r8,%rax,4\)
 [ 	]*[a-f0-9]+:[ 	]*62 d4 7d 0c f7 a4 80 23 01 00 00[ 	]+\{nf\} mulw 0x123\(%r8,%rax,4\)
--- a/opcodes/i386-opc.tbl
+++ b/opcodes/i386-opc.tbl
@@ -392,10 +392,10 @@  cqto, 0x99, x64, Size64|NoSuf, {}
 // expanding 64-bit multiplies, and *cannot* be selected to accomplish
 // 'imul %ebx, %eax' (opcode 0x0faf must be used in this case)
 // These multiplies can only be selected with single operand forms.
-<mul:opc, mul:4, imul:5>
+<mul:opc:opt, mul:4:Optimize, imul:5:>
 
 <mul>, 0xf6/<mul:opc>, 0, W|Modrm|No_sSuf, { Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex }
-<mul>, 0xf6/<mul:opc>, APX_F, W|Modrm|No_sSuf|EVexMap4|NF, { Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex }
+<mul>, 0xf6/<mul:opc>, APX_F, W|Modrm|No_sSuf|EVexMap4|NF|<mul:opt>, { Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex }
 imul, 0xaf, APX_F, C|Modrm|CheckOperandSize|No_bSuf|No_sSuf|DstVVVV|EVexMap4|NF, { Reg16|Reg32|Reg64|Unspecified|BaseIndex, Reg16|Reg32|Reg64, Reg16|Reg32|Reg64 }
 imul, 0xfaf, i386, Modrm|CheckOperandSize|No_bSuf|No_sSuf, { Reg16|Reg32|Reg64|Unspecified|BaseIndex, Reg16|Reg32|Reg64 }
 imul, 0xaf, APX_F, Modrm|CheckOperandSize|No_bSuf|No_sSuf|EVexMap4|NF, { Reg16|Reg32|Reg64|Unspecified|BaseIndex, Reg16|Reg32|Reg64 }
@@ -428,15 +428,15 @@  imulzu, 0x69, APX_F, Modrm|No_bSuf|No_sS
 
 <div>
 
-<sr:opc:imm8:opt1:opti:nf, +
-    rol:0:Imm8|Imm8S::Optimize:NF, +
-    ror:1:Imm8|Imm8S::Optimize:NF, +
-    rcl:2:Imm8:::, +
-    rcr:3:Imm8:::, +
-    sal:4:Imm8:Optimize::NF, +
-    shl:4:Imm8:Optimize::NF, +
-    shr:5:Imm8:::NF, +
-    sar:7:Imm8:::NF>
+<sr:opc:imm8:opt1:opti:optc:nf, +
+    rol:0:Imm8|Imm8S::Optimize::NF, +
+    ror:1:Imm8|Imm8S::Optimize::NF, +
+    rcl:2:Imm8::::, +
+    rcr:3:Imm8::::, +
+    sal:4:Imm8:Optimize::Optimize:NF, +
+    shl:4:Imm8:Optimize::Optimize:NF, +
+    shr:5:Imm8:::Optimize:NF, +
+    sar:7:Imm8:::Optimize:NF>
 
 <sr>, 0xd0/<sr:opc>, APX_F, W|Modrm|No_sSuf|CheckOperandSize|DstVVVV|EVexMap4|<sr:opt1>|<sr:nf>, { Imm1, Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex, Reg8|Reg16|Reg32|Reg64 }
 <sr>, 0xd0/<sr:opc>, 0, W|Modrm|No_sSuf|<sr:opt1>, { Imm1, Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex }
@@ -444,9 +444,9 @@  imulzu, 0x69, APX_F, Modrm|No_bSuf|No_sS
 <sr>, 0xc0/<sr:opc>, APX_F, W|Modrm|No_sSuf|CheckOperandSize|DstVVVV|EVexMap4|<sr:opti>|<sr:nf>, { <sr:imm8>, Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex, Reg8|Reg16|Reg32|Reg64 }
 <sr>, 0xc0/<sr:opc>, i186, W|Modrm|No_sSuf, { <sr:imm8>, Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex }
 <sr>, 0xc0/<sr:opc>, APX_F, W|Modrm|No_sSuf|EVexMap4|<sr:opti>|<sr:nf>, { <sr:imm8>, Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex }
-<sr>, 0xd2/<sr:opc>, APX_F, W|Modrm|No_sSuf|CheckOperandSize|DstVVVV|EVexMap4|<sr:nf>, { ShiftCount, Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex, Reg8|Reg16|Reg32|Reg64 }
-<sr>, 0xd2/<sr:opc>, 0, W|Modrm|No_sSuf, { ShiftCount, Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex }
-<sr>, 0xd2/<sr:opc>, APX_F, W|Modrm|No_sSuf|EVexMap4|<sr:nf>, { ShiftCount, Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex }
+<sr>, 0xd2/<sr:opc>, APX_F, W|Modrm|No_sSuf|CheckOperandSize|DstVVVV|EVexMap4|<sr:optc>|<sr:nf>, { ShiftCount, Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex, Reg8|Reg16|Reg32|Reg64 }
+<sr>, 0xd2/<sr:opc>, 0, W|Modrm|No_sSuf|<sr:optc>, { ShiftCount, Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex }
+<sr>, 0xd2/<sr:opc>, APX_F, W|Modrm|No_sSuf|EVexMap4|<sr:optc>|<sr:nf>, { ShiftCount, Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex }
 <sr>, 0xd0/<sr:opc>, 0, W|Modrm|No_sSuf|<sr:opt1>, { Reg8|Reg16|Reg32|Reg64|Unspecified|BaseIndex }
 
 <sr>