[1/2] benchtests: Move libmvec benchtest inputs to benchtests directory

Message ID 20230324121011.629-1-Joe.Ramsay@arm.com
State Committed
Commit e4d336f1ace7c7ca535f7f85485373752bc76ed5
Headers
Series [1/2] benchtests: Move libmvec benchtest inputs to benchtests directory |

Checks

Context Check Description
dj/TryBot-apply_patch success Patch applied to master at the time it was sent

Commit Message

Joe Ramsay March 24, 2023, 12:10 p.m. UTC
  This allows other targets to use the same inputs for their own libmvec
microbenchmarks without having to duplicate them in their own
subdirectory.
---
 .../fpu/libmvec-acos-inputs => benchtests/libmvec/acos-inputs   | 0
 .../fpu/libmvec-acosf-inputs => benchtests/libmvec/acosf-inputs | 0
 .../fpu/libmvec-acosh-inputs => benchtests/libmvec/acosh-inputs | 0
 .../libmvec-acoshf-inputs => benchtests/libmvec/acoshf-inputs   | 0
 .../fpu/libmvec-asin-inputs => benchtests/libmvec/asin-inputs   | 0
 .../fpu/libmvec-asinf-inputs => benchtests/libmvec/asinf-inputs | 0
 .../fpu/libmvec-asinh-inputs => benchtests/libmvec/asinh-inputs | 0
 .../libmvec-asinhf-inputs => benchtests/libmvec/asinhf-inputs   | 0
 .../fpu/libmvec-atan-inputs => benchtests/libmvec/atan-inputs   | 0
 .../fpu/libmvec-atan2-inputs => benchtests/libmvec/atan2-inputs | 0
 .../libmvec-atan2f-inputs => benchtests/libmvec/atan2f-inputs   | 0
 .../fpu/libmvec-atanf-inputs => benchtests/libmvec/atanf-inputs | 0
 .../fpu/libmvec-atanh-inputs => benchtests/libmvec/atanh-inputs | 0
 .../libmvec-atanhf-inputs => benchtests/libmvec/atanhf-inputs   | 0
 .../fpu/libmvec-cbrt-inputs => benchtests/libmvec/cbrt-inputs   | 0
 .../fpu/libmvec-cbrtf-inputs => benchtests/libmvec/cbrtf-inputs | 0
 .../fpu/libmvec-cos-inputs => benchtests/libmvec/cos-inputs     | 0
 .../fpu/libmvec-cosf-inputs => benchtests/libmvec/cosf-inputs   | 0
 .../fpu/libmvec-cosh-inputs => benchtests/libmvec/cosh-inputs   | 0
 .../fpu/libmvec-coshf-inputs => benchtests/libmvec/coshf-inputs | 0
 .../fpu/libmvec-erf-inputs => benchtests/libmvec/erf-inputs     | 0
 .../fpu/libmvec-erfc-inputs => benchtests/libmvec/erfc-inputs   | 0
 .../fpu/libmvec-erfcf-inputs => benchtests/libmvec/erfcf-inputs | 0
 .../fpu/libmvec-erff-inputs => benchtests/libmvec/erff-inputs   | 0
 .../fpu/libmvec-exp-inputs => benchtests/libmvec/exp-inputs     | 0
 .../fpu/libmvec-exp10-inputs => benchtests/libmvec/exp10-inputs | 0
 .../libmvec-exp10f-inputs => benchtests/libmvec/exp10f-inputs   | 0
 .../fpu/libmvec-exp2-inputs => benchtests/libmvec/exp2-inputs   | 0
 .../fpu/libmvec-exp2f-inputs => benchtests/libmvec/exp2f-inputs | 0
 .../fpu/libmvec-expf-inputs => benchtests/libmvec/expf-inputs   | 0
 .../fpu/libmvec-expm1-inputs => benchtests/libmvec/expm1-inputs | 0
 .../libmvec-expm1f-inputs => benchtests/libmvec/expm1f-inputs   | 0
 .../fpu/libmvec-hypot-inputs => benchtests/libmvec/hypot-inputs | 0
 .../libmvec-hypotf-inputs => benchtests/libmvec/hypotf-inputs   | 0
 .../fpu/libmvec-log-inputs => benchtests/libmvec/log-inputs     | 0
 .../fpu/libmvec-log10-inputs => benchtests/libmvec/log10-inputs | 0
 .../libmvec-log10f-inputs => benchtests/libmvec/log10f-inputs   | 0
 .../fpu/libmvec-log1p-inputs => benchtests/libmvec/log1p-inputs | 0
 .../libmvec-log1pf-inputs => benchtests/libmvec/log1pf-inputs   | 0
 .../fpu/libmvec-log2-inputs => benchtests/libmvec/log2-inputs   | 0
 .../fpu/libmvec-log2f-inputs => benchtests/libmvec/log2f-inputs | 0
 .../fpu/libmvec-logf-inputs => benchtests/libmvec/logf-inputs   | 0
 .../fpu/libmvec-pow-inputs => benchtests/libmvec/pow-inputs     | 0
 .../fpu/libmvec-powf-inputs => benchtests/libmvec/powf-inputs   | 0
 .../fpu/libmvec-sin-inputs => benchtests/libmvec/sin-inputs     | 0
 .../fpu/libmvec-sinf-inputs => benchtests/libmvec/sinf-inputs   | 0
 .../fpu/libmvec-sinh-inputs => benchtests/libmvec/sinh-inputs   | 0
 .../fpu/libmvec-sinhf-inputs => benchtests/libmvec/sinhf-inputs | 0
 .../fpu/libmvec-tan-inputs => benchtests/libmvec/tan-inputs     | 0
 .../fpu/libmvec-tanf-inputs => benchtests/libmvec/tanf-inputs   | 0
 .../fpu/libmvec-tanh-inputs => benchtests/libmvec/tanh-inputs   | 0
 .../fpu/libmvec-tanhf-inputs => benchtests/libmvec/tanhf-inputs | 0
 sysdeps/x86_64/fpu/scripts/bench_libmvec.py                     | 2 +-
 53 files changed, 1 insertion(+), 1 deletion(-)
 rename sysdeps/x86_64/fpu/libmvec-acos-inputs => benchtests/libmvec/acos-inputs (100%)
 rename sysdeps/x86_64/fpu/libmvec-acosf-inputs => benchtests/libmvec/acosf-inputs (100%)
 rename sysdeps/x86_64/fpu/libmvec-acosh-inputs => benchtests/libmvec/acosh-inputs (100%)
 rename sysdeps/x86_64/fpu/libmvec-acoshf-inputs => benchtests/libmvec/acoshf-inputs (100%)
 rename sysdeps/x86_64/fpu/libmvec-asin-inputs => benchtests/libmvec/asin-inputs (100%)
 rename sysdeps/x86_64/fpu/libmvec-asinf-inputs => benchtests/libmvec/asinf-inputs (100%)
 rename sysdeps/x86_64/fpu/libmvec-asinh-inputs => benchtests/libmvec/asinh-inputs (100%)
 rename sysdeps/x86_64/fpu/libmvec-asinhf-inputs => benchtests/libmvec/asinhf-inputs (100%)
 rename sysdeps/x86_64/fpu/libmvec-atan-inputs => benchtests/libmvec/atan-inputs (100%)
 rename sysdeps/x86_64/fpu/libmvec-atan2-inputs => benchtests/libmvec/atan2-inputs (100%)
 rename sysdeps/x86_64/fpu/libmvec-atan2f-inputs => benchtests/libmvec/atan2f-inputs (100%)
 rename sysdeps/x86_64/fpu/libmvec-atanf-inputs => benchtests/libmvec/atanf-inputs (100%)
 rename sysdeps/x86_64/fpu/libmvec-atanh-inputs => benchtests/libmvec/atanh-inputs (100%)
 rename sysdeps/x86_64/fpu/libmvec-atanhf-inputs => benchtests/libmvec/atanhf-inputs (100%)
 rename sysdeps/x86_64/fpu/libmvec-cbrt-inputs => benchtests/libmvec/cbrt-inputs (100%)
 rename sysdeps/x86_64/fpu/libmvec-cbrtf-inputs => benchtests/libmvec/cbrtf-inputs (100%)
 rename sysdeps/x86_64/fpu/libmvec-cos-inputs => benchtests/libmvec/cos-inputs (100%)
 rename sysdeps/x86_64/fpu/libmvec-cosf-inputs => benchtests/libmvec/cosf-inputs (100%)
 rename sysdeps/x86_64/fpu/libmvec-cosh-inputs => benchtests/libmvec/cosh-inputs (100%)
 rename sysdeps/x86_64/fpu/libmvec-coshf-inputs => benchtests/libmvec/coshf-inputs (100%)
 rename sysdeps/x86_64/fpu/libmvec-erf-inputs => benchtests/libmvec/erf-inputs (100%)
 rename sysdeps/x86_64/fpu/libmvec-erfc-inputs => benchtests/libmvec/erfc-inputs (100%)
 rename sysdeps/x86_64/fpu/libmvec-erfcf-inputs => benchtests/libmvec/erfcf-inputs (100%)
 rename sysdeps/x86_64/fpu/libmvec-erff-inputs => benchtests/libmvec/erff-inputs (100%)
 rename sysdeps/x86_64/fpu/libmvec-exp-inputs => benchtests/libmvec/exp-inputs (100%)
 rename sysdeps/x86_64/fpu/libmvec-exp10-inputs => benchtests/libmvec/exp10-inputs (100%)
 rename sysdeps/x86_64/fpu/libmvec-exp10f-inputs => benchtests/libmvec/exp10f-inputs (100%)
 rename sysdeps/x86_64/fpu/libmvec-exp2-inputs => benchtests/libmvec/exp2-inputs (100%)
 rename sysdeps/x86_64/fpu/libmvec-exp2f-inputs => benchtests/libmvec/exp2f-inputs (100%)
 rename sysdeps/x86_64/fpu/libmvec-expf-inputs => benchtests/libmvec/expf-inputs (100%)
 rename sysdeps/x86_64/fpu/libmvec-expm1-inputs => benchtests/libmvec/expm1-inputs (100%)
 rename sysdeps/x86_64/fpu/libmvec-expm1f-inputs => benchtests/libmvec/expm1f-inputs (100%)
 rename sysdeps/x86_64/fpu/libmvec-hypot-inputs => benchtests/libmvec/hypot-inputs (100%)
 rename sysdeps/x86_64/fpu/libmvec-hypotf-inputs => benchtests/libmvec/hypotf-inputs (100%)
 rename sysdeps/x86_64/fpu/libmvec-log-inputs => benchtests/libmvec/log-inputs (100%)
 rename sysdeps/x86_64/fpu/libmvec-log10-inputs => benchtests/libmvec/log10-inputs (100%)
 rename sysdeps/x86_64/fpu/libmvec-log10f-inputs => benchtests/libmvec/log10f-inputs (100%)
 rename sysdeps/x86_64/fpu/libmvec-log1p-inputs => benchtests/libmvec/log1p-inputs (100%)
 rename sysdeps/x86_64/fpu/libmvec-log1pf-inputs => benchtests/libmvec/log1pf-inputs (100%)
 rename sysdeps/x86_64/fpu/libmvec-log2-inputs => benchtests/libmvec/log2-inputs (100%)
 rename sysdeps/x86_64/fpu/libmvec-log2f-inputs => benchtests/libmvec/log2f-inputs (100%)
 rename sysdeps/x86_64/fpu/libmvec-logf-inputs => benchtests/libmvec/logf-inputs (100%)
 rename sysdeps/x86_64/fpu/libmvec-pow-inputs => benchtests/libmvec/pow-inputs (100%)
 rename sysdeps/x86_64/fpu/libmvec-powf-inputs => benchtests/libmvec/powf-inputs (100%)
 rename sysdeps/x86_64/fpu/libmvec-sin-inputs => benchtests/libmvec/sin-inputs (100%)
 rename sysdeps/x86_64/fpu/libmvec-sinf-inputs => benchtests/libmvec/sinf-inputs (100%)
 rename sysdeps/x86_64/fpu/libmvec-sinh-inputs => benchtests/libmvec/sinh-inputs (100%)
 rename sysdeps/x86_64/fpu/libmvec-sinhf-inputs => benchtests/libmvec/sinhf-inputs (100%)
 rename sysdeps/x86_64/fpu/libmvec-tan-inputs => benchtests/libmvec/tan-inputs (100%)
 rename sysdeps/x86_64/fpu/libmvec-tanf-inputs => benchtests/libmvec/tanf-inputs (100%)
 rename sysdeps/x86_64/fpu/libmvec-tanh-inputs => benchtests/libmvec/tanh-inputs (100%)
 rename sysdeps/x86_64/fpu/libmvec-tanhf-inputs => benchtests/libmvec/tanhf-inputs (100%)
  

Comments

Szabolcs Nagy March 27, 2023, 1:36 p.m. UTC | #1
The 03/24/2023 12:10, Joe Ramsay via Libc-alpha wrote:
> This allows other targets to use the same inputs for their own libmvec
> microbenchmarks without having to duplicate them in their own
> subdirectory.

This looks good to commit, if you don't have commit rights
then let me know and i'll commit it for you.
thanks.

Reviewed-by: Szabolcs Nagy <szabolcs.nagy@arm.com>
  

Patch

diff --git a/sysdeps/x86_64/fpu/libmvec-acos-inputs b/benchtests/libmvec/acos-inputs
similarity index 100%
rename from sysdeps/x86_64/fpu/libmvec-acos-inputs
rename to benchtests/libmvec/acos-inputs
diff --git a/sysdeps/x86_64/fpu/libmvec-acosf-inputs b/benchtests/libmvec/acosf-inputs
similarity index 100%
rename from sysdeps/x86_64/fpu/libmvec-acosf-inputs
rename to benchtests/libmvec/acosf-inputs
diff --git a/sysdeps/x86_64/fpu/libmvec-acosh-inputs b/benchtests/libmvec/acosh-inputs
similarity index 100%
rename from sysdeps/x86_64/fpu/libmvec-acosh-inputs
rename to benchtests/libmvec/acosh-inputs
diff --git a/sysdeps/x86_64/fpu/libmvec-acoshf-inputs b/benchtests/libmvec/acoshf-inputs
similarity index 100%
rename from sysdeps/x86_64/fpu/libmvec-acoshf-inputs
rename to benchtests/libmvec/acoshf-inputs
diff --git a/sysdeps/x86_64/fpu/libmvec-asin-inputs b/benchtests/libmvec/asin-inputs
similarity index 100%
rename from sysdeps/x86_64/fpu/libmvec-asin-inputs
rename to benchtests/libmvec/asin-inputs
diff --git a/sysdeps/x86_64/fpu/libmvec-asinf-inputs b/benchtests/libmvec/asinf-inputs
similarity index 100%
rename from sysdeps/x86_64/fpu/libmvec-asinf-inputs
rename to benchtests/libmvec/asinf-inputs
diff --git a/sysdeps/x86_64/fpu/libmvec-asinh-inputs b/benchtests/libmvec/asinh-inputs
similarity index 100%
rename from sysdeps/x86_64/fpu/libmvec-asinh-inputs
rename to benchtests/libmvec/asinh-inputs
diff --git a/sysdeps/x86_64/fpu/libmvec-asinhf-inputs b/benchtests/libmvec/asinhf-inputs
similarity index 100%
rename from sysdeps/x86_64/fpu/libmvec-asinhf-inputs
rename to benchtests/libmvec/asinhf-inputs
diff --git a/sysdeps/x86_64/fpu/libmvec-atan-inputs b/benchtests/libmvec/atan-inputs
similarity index 100%
rename from sysdeps/x86_64/fpu/libmvec-atan-inputs
rename to benchtests/libmvec/atan-inputs
diff --git a/sysdeps/x86_64/fpu/libmvec-atan2-inputs b/benchtests/libmvec/atan2-inputs
similarity index 100%
rename from sysdeps/x86_64/fpu/libmvec-atan2-inputs
rename to benchtests/libmvec/atan2-inputs
diff --git a/sysdeps/x86_64/fpu/libmvec-atan2f-inputs b/benchtests/libmvec/atan2f-inputs
similarity index 100%
rename from sysdeps/x86_64/fpu/libmvec-atan2f-inputs
rename to benchtests/libmvec/atan2f-inputs
diff --git a/sysdeps/x86_64/fpu/libmvec-atanf-inputs b/benchtests/libmvec/atanf-inputs
similarity index 100%
rename from sysdeps/x86_64/fpu/libmvec-atanf-inputs
rename to benchtests/libmvec/atanf-inputs
diff --git a/sysdeps/x86_64/fpu/libmvec-atanh-inputs b/benchtests/libmvec/atanh-inputs
similarity index 100%
rename from sysdeps/x86_64/fpu/libmvec-atanh-inputs
rename to benchtests/libmvec/atanh-inputs
diff --git a/sysdeps/x86_64/fpu/libmvec-atanhf-inputs b/benchtests/libmvec/atanhf-inputs
similarity index 100%
rename from sysdeps/x86_64/fpu/libmvec-atanhf-inputs
rename to benchtests/libmvec/atanhf-inputs
diff --git a/sysdeps/x86_64/fpu/libmvec-cbrt-inputs b/benchtests/libmvec/cbrt-inputs
similarity index 100%
rename from sysdeps/x86_64/fpu/libmvec-cbrt-inputs
rename to benchtests/libmvec/cbrt-inputs
diff --git a/sysdeps/x86_64/fpu/libmvec-cbrtf-inputs b/benchtests/libmvec/cbrtf-inputs
similarity index 100%
rename from sysdeps/x86_64/fpu/libmvec-cbrtf-inputs
rename to benchtests/libmvec/cbrtf-inputs
diff --git a/sysdeps/x86_64/fpu/libmvec-cos-inputs b/benchtests/libmvec/cos-inputs
similarity index 100%
rename from sysdeps/x86_64/fpu/libmvec-cos-inputs
rename to benchtests/libmvec/cos-inputs
diff --git a/sysdeps/x86_64/fpu/libmvec-cosf-inputs b/benchtests/libmvec/cosf-inputs
similarity index 100%
rename from sysdeps/x86_64/fpu/libmvec-cosf-inputs
rename to benchtests/libmvec/cosf-inputs
diff --git a/sysdeps/x86_64/fpu/libmvec-cosh-inputs b/benchtests/libmvec/cosh-inputs
similarity index 100%
rename from sysdeps/x86_64/fpu/libmvec-cosh-inputs
rename to benchtests/libmvec/cosh-inputs
diff --git a/sysdeps/x86_64/fpu/libmvec-coshf-inputs b/benchtests/libmvec/coshf-inputs
similarity index 100%
rename from sysdeps/x86_64/fpu/libmvec-coshf-inputs
rename to benchtests/libmvec/coshf-inputs
diff --git a/sysdeps/x86_64/fpu/libmvec-erf-inputs b/benchtests/libmvec/erf-inputs
similarity index 100%
rename from sysdeps/x86_64/fpu/libmvec-erf-inputs
rename to benchtests/libmvec/erf-inputs
diff --git a/sysdeps/x86_64/fpu/libmvec-erfc-inputs b/benchtests/libmvec/erfc-inputs
similarity index 100%
rename from sysdeps/x86_64/fpu/libmvec-erfc-inputs
rename to benchtests/libmvec/erfc-inputs
diff --git a/sysdeps/x86_64/fpu/libmvec-erfcf-inputs b/benchtests/libmvec/erfcf-inputs
similarity index 100%
rename from sysdeps/x86_64/fpu/libmvec-erfcf-inputs
rename to benchtests/libmvec/erfcf-inputs
diff --git a/sysdeps/x86_64/fpu/libmvec-erff-inputs b/benchtests/libmvec/erff-inputs
similarity index 100%
rename from sysdeps/x86_64/fpu/libmvec-erff-inputs
rename to benchtests/libmvec/erff-inputs
diff --git a/sysdeps/x86_64/fpu/libmvec-exp-inputs b/benchtests/libmvec/exp-inputs
similarity index 100%
rename from sysdeps/x86_64/fpu/libmvec-exp-inputs
rename to benchtests/libmvec/exp-inputs
diff --git a/sysdeps/x86_64/fpu/libmvec-exp10-inputs b/benchtests/libmvec/exp10-inputs
similarity index 100%
rename from sysdeps/x86_64/fpu/libmvec-exp10-inputs
rename to benchtests/libmvec/exp10-inputs
diff --git a/sysdeps/x86_64/fpu/libmvec-exp10f-inputs b/benchtests/libmvec/exp10f-inputs
similarity index 100%
rename from sysdeps/x86_64/fpu/libmvec-exp10f-inputs
rename to benchtests/libmvec/exp10f-inputs
diff --git a/sysdeps/x86_64/fpu/libmvec-exp2-inputs b/benchtests/libmvec/exp2-inputs
similarity index 100%
rename from sysdeps/x86_64/fpu/libmvec-exp2-inputs
rename to benchtests/libmvec/exp2-inputs
diff --git a/sysdeps/x86_64/fpu/libmvec-exp2f-inputs b/benchtests/libmvec/exp2f-inputs
similarity index 100%
rename from sysdeps/x86_64/fpu/libmvec-exp2f-inputs
rename to benchtests/libmvec/exp2f-inputs
diff --git a/sysdeps/x86_64/fpu/libmvec-expf-inputs b/benchtests/libmvec/expf-inputs
similarity index 100%
rename from sysdeps/x86_64/fpu/libmvec-expf-inputs
rename to benchtests/libmvec/expf-inputs
diff --git a/sysdeps/x86_64/fpu/libmvec-expm1-inputs b/benchtests/libmvec/expm1-inputs
similarity index 100%
rename from sysdeps/x86_64/fpu/libmvec-expm1-inputs
rename to benchtests/libmvec/expm1-inputs
diff --git a/sysdeps/x86_64/fpu/libmvec-expm1f-inputs b/benchtests/libmvec/expm1f-inputs
similarity index 100%
rename from sysdeps/x86_64/fpu/libmvec-expm1f-inputs
rename to benchtests/libmvec/expm1f-inputs
diff --git a/sysdeps/x86_64/fpu/libmvec-hypot-inputs b/benchtests/libmvec/hypot-inputs
similarity index 100%
rename from sysdeps/x86_64/fpu/libmvec-hypot-inputs
rename to benchtests/libmvec/hypot-inputs
diff --git a/sysdeps/x86_64/fpu/libmvec-hypotf-inputs b/benchtests/libmvec/hypotf-inputs
similarity index 100%
rename from sysdeps/x86_64/fpu/libmvec-hypotf-inputs
rename to benchtests/libmvec/hypotf-inputs
diff --git a/sysdeps/x86_64/fpu/libmvec-log-inputs b/benchtests/libmvec/log-inputs
similarity index 100%
rename from sysdeps/x86_64/fpu/libmvec-log-inputs
rename to benchtests/libmvec/log-inputs
diff --git a/sysdeps/x86_64/fpu/libmvec-log10-inputs b/benchtests/libmvec/log10-inputs
similarity index 100%
rename from sysdeps/x86_64/fpu/libmvec-log10-inputs
rename to benchtests/libmvec/log10-inputs
diff --git a/sysdeps/x86_64/fpu/libmvec-log10f-inputs b/benchtests/libmvec/log10f-inputs
similarity index 100%
rename from sysdeps/x86_64/fpu/libmvec-log10f-inputs
rename to benchtests/libmvec/log10f-inputs
diff --git a/sysdeps/x86_64/fpu/libmvec-log1p-inputs b/benchtests/libmvec/log1p-inputs
similarity index 100%
rename from sysdeps/x86_64/fpu/libmvec-log1p-inputs
rename to benchtests/libmvec/log1p-inputs
diff --git a/sysdeps/x86_64/fpu/libmvec-log1pf-inputs b/benchtests/libmvec/log1pf-inputs
similarity index 100%
rename from sysdeps/x86_64/fpu/libmvec-log1pf-inputs
rename to benchtests/libmvec/log1pf-inputs
diff --git a/sysdeps/x86_64/fpu/libmvec-log2-inputs b/benchtests/libmvec/log2-inputs
similarity index 100%
rename from sysdeps/x86_64/fpu/libmvec-log2-inputs
rename to benchtests/libmvec/log2-inputs
diff --git a/sysdeps/x86_64/fpu/libmvec-log2f-inputs b/benchtests/libmvec/log2f-inputs
similarity index 100%
rename from sysdeps/x86_64/fpu/libmvec-log2f-inputs
rename to benchtests/libmvec/log2f-inputs
diff --git a/sysdeps/x86_64/fpu/libmvec-logf-inputs b/benchtests/libmvec/logf-inputs
similarity index 100%
rename from sysdeps/x86_64/fpu/libmvec-logf-inputs
rename to benchtests/libmvec/logf-inputs
diff --git a/sysdeps/x86_64/fpu/libmvec-pow-inputs b/benchtests/libmvec/pow-inputs
similarity index 100%
rename from sysdeps/x86_64/fpu/libmvec-pow-inputs
rename to benchtests/libmvec/pow-inputs
diff --git a/sysdeps/x86_64/fpu/libmvec-powf-inputs b/benchtests/libmvec/powf-inputs
similarity index 100%
rename from sysdeps/x86_64/fpu/libmvec-powf-inputs
rename to benchtests/libmvec/powf-inputs
diff --git a/sysdeps/x86_64/fpu/libmvec-sin-inputs b/benchtests/libmvec/sin-inputs
similarity index 100%
rename from sysdeps/x86_64/fpu/libmvec-sin-inputs
rename to benchtests/libmvec/sin-inputs
diff --git a/sysdeps/x86_64/fpu/libmvec-sinf-inputs b/benchtests/libmvec/sinf-inputs
similarity index 100%
rename from sysdeps/x86_64/fpu/libmvec-sinf-inputs
rename to benchtests/libmvec/sinf-inputs
diff --git a/sysdeps/x86_64/fpu/libmvec-sinh-inputs b/benchtests/libmvec/sinh-inputs
similarity index 100%
rename from sysdeps/x86_64/fpu/libmvec-sinh-inputs
rename to benchtests/libmvec/sinh-inputs
diff --git a/sysdeps/x86_64/fpu/libmvec-sinhf-inputs b/benchtests/libmvec/sinhf-inputs
similarity index 100%
rename from sysdeps/x86_64/fpu/libmvec-sinhf-inputs
rename to benchtests/libmvec/sinhf-inputs
diff --git a/sysdeps/x86_64/fpu/libmvec-tan-inputs b/benchtests/libmvec/tan-inputs
similarity index 100%
rename from sysdeps/x86_64/fpu/libmvec-tan-inputs
rename to benchtests/libmvec/tan-inputs
diff --git a/sysdeps/x86_64/fpu/libmvec-tanf-inputs b/benchtests/libmvec/tanf-inputs
similarity index 100%
rename from sysdeps/x86_64/fpu/libmvec-tanf-inputs
rename to benchtests/libmvec/tanf-inputs
diff --git a/sysdeps/x86_64/fpu/libmvec-tanh-inputs b/benchtests/libmvec/tanh-inputs
similarity index 100%
rename from sysdeps/x86_64/fpu/libmvec-tanh-inputs
rename to benchtests/libmvec/tanh-inputs
diff --git a/sysdeps/x86_64/fpu/libmvec-tanhf-inputs b/benchtests/libmvec/tanhf-inputs
similarity index 100%
rename from sysdeps/x86_64/fpu/libmvec-tanhf-inputs
rename to benchtests/libmvec/tanhf-inputs
diff --git a/sysdeps/x86_64/fpu/scripts/bench_libmvec.py b/sysdeps/x86_64/fpu/scripts/bench_libmvec.py
index 426169f8a3..a66f0324b3 100755
--- a/sysdeps/x86_64/fpu/scripts/bench_libmvec.py
+++ b/sysdeps/x86_64/fpu/scripts/bench_libmvec.py
@@ -396,7 +396,7 @@  def parse_file(func_types):
 
   func = func_types[-1]
   try:
-    with open('../sysdeps/x86_64/fpu/libmvec-%s-inputs' % func) as f:
+    with open('../benchtests/libmvec/%s-inputs' % func) as f:
       for line in f:
         # Look for directives and parse it if found.
         if line.startswith('##'):