From patchwork Wed Jun 1 02:29:07 2022 Content-Type: text/plain; charset="utf-8" MIME-Version: 1.0 Content-Transfer-Encoding: 7bit X-Patchwork-Submitter: "juzhe.zhong@rivai.ai" X-Patchwork-Id: 54629 Return-Path: X-Original-To: patchwork@sourceware.org Delivered-To: patchwork@sourceware.org Received: from server2.sourceware.org (localhost [IPv6:::1]) by sourceware.org (Postfix) with ESMTP id 4672E38582A7 for ; Wed, 1 Jun 2022 02:37:37 +0000 (GMT) X-Original-To: gcc-patches@gcc.gnu.org Delivered-To: gcc-patches@gcc.gnu.org Received: from smtpbg151.qq.com (smtpbg151.qq.com [18.169.211.239]) by sourceware.org (Postfix) with ESMTPS id 77EA738582B7 for ; Wed, 1 Jun 2022 02:30:39 +0000 (GMT) DMARC-Filter: OpenDMARC Filter v1.4.1 sourceware.org 77EA738582B7 Authentication-Results: sourceware.org; dmarc=none (p=none dis=none) header.from=rivai.ai Authentication-Results: sourceware.org; spf=pass smtp.mailfrom=rivai.ai X-QQ-mid: bizesmtp68t1654050633tbs9n6ab Received: from server1.localdomain ( [42.247.22.65]) by bizesmtp.qq.com (ESMTP) with id ; Wed, 01 Jun 2022 10:30:32 +0800 (CST) X-QQ-SSF: 01400000000000C0F000000A0000000 X-QQ-FEAT: 1hC4f9eg4kEJhz+/sC4b5pybW5ub8oWMjphUIvbILQy+SDDlQLHqsaR4UItnd z7z3lwlvljrCxxl05BvyvNrhQ4GuN/J4/t2aZo6gXa2DkPkNtjycOifufY2CI9iHN9nusf4 BVMoJ/OWcts6HUEcrVPDroJXyAtghLKH5uGbSEdTXIacozh5sPZusAIV8gLxK7+wXW0IiCC 3EaqcSFt89rysY+NOTlj4CDlnfc1vfVx++VIuiqZVgK+ptgFJhhcIJqFj7Fsr0Fw5cRT6tj O8Fz5ln4DAusywAcMWysB0YDV2eKV0olJVAtUV5J9de+kkNsrxRa2PwS95JIQ2Zh0AkK4zo l27X+huMwhuLnBrmPI= X-QQ-GoodBg: 2 From: juzhe.zhong@rivai.ai To: gcc-patches@gcc.gnu.org Subject: [PATCH v4 24/34] RISC-V: Add vloxeix_3.C Date: Wed, 1 Jun 2022 10:29:07 +0800 Message-Id: <20220601022917.270325-25-juzhe.zhong@rivai.ai> X-Mailer: git-send-email 2.36.1 In-Reply-To: <20220601022917.270325-1-juzhe.zhong@rivai.ai> References: <20220601022917.270325-1-juzhe.zhong@rivai.ai> MIME-Version: 1.0 X-QQ-SENDSIZE: 520 Feedback-ID: bizesmtp:rivai.ai:qybgforeign:qybgforeign4 X-QQ-Bgrelay: 1 X-Spam-Status: No, score=-2.8 required=5.0 tests=BAYES_00, GIT_PATCH_0, KAM_DMARC_STATUS, RCVD_IN_DNSWL_NONE, RCVD_IN_MSPIKE_H2, SPF_HELO_PASS, SPF_PASS, TXREP, T_SCC_BODY_TEXT_LINE, UNWANTED_LANGUAGE_BODY autolearn=ham autolearn_force=no version=3.4.6 X-Spam-Checker-Version: SpamAssassin 3.4.6 (2021-04-09) on server2.sourceware.org X-BeenThere: gcc-patches@gcc.gnu.org X-Mailman-Version: 2.1.29 Precedence: list List-Id: Gcc-patches mailing list List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Cc: zhongjuzhe Errors-To: gcc-patches-bounces+patchwork=sourceware.org@gcc.gnu.org Sender: "Gcc-patches" From: zhongjuzhe gcc/testsuite/ChangeLog: * g++.target/riscv/rvv/vloxeix_3.C: New test. --- .../g++.target/riscv/rvv/vloxeix_3.C | 6120 +++++++++++++++++ 1 file changed, 6120 insertions(+) create mode 100644 gcc/testsuite/g++.target/riscv/rvv/vloxeix_3.C diff --git a/gcc/testsuite/g++.target/riscv/rvv/vloxeix_3.C b/gcc/testsuite/g++.target/riscv/rvv/vloxeix_3.C new file mode 100644 index 00000000000..1a1962892a7 --- /dev/null +++ b/gcc/testsuite/g++.target/riscv/rvv/vloxeix_3.C @@ -0,0 +1,6120 @@ +/* { dg-do compile } */ +/* { dg-skip-if "test vector intrinsic" { *-*-* } { "*" } { "-march=rv*v*" } } */ +/* { dg-final { check-function-bodies "**" "" } } */ +#include +#include + + +/* +** test_vloxei8_v_f32mf2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*mf2,\s*ta,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vfloat32mf2_t +test_vloxei8_v_f32mf2_ta (float32_t *base, vuint8mf8_t bindex, size_t vl) +{ + return vloxei8_ta (base, bindex, vl); +} + + +/* +** test_vloxei8_v_f32mf2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*mf2,\s*tu,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vfloat32mf2_t +test_vloxei8_v_f32mf2_tu (vfloat32mf2_t dest, float32_t *base, vuint8mf8_t bindex, size_t vl) +{ + return vloxei8_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei8_v_f64m1_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m1,\s*ta,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vfloat64m1_t +test_vloxei8_v_f64m1_ta (float64_t *base, vuint8mf8_t bindex, size_t vl) +{ + return vloxei8_ta (base, bindex, vl); +} + + +/* +** test_vloxei8_v_f64m1_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m1,\s*tu,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vfloat64m1_t +test_vloxei8_v_f64m1_tu (vfloat64m1_t dest, float64_t *base, vuint8mf8_t bindex, size_t vl) +{ + return vloxei8_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei8_v_f32m1_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m1,\s*ta,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vfloat32m1_t +test_vloxei8_v_f32m1_ta (float32_t *base, vuint8mf4_t bindex, size_t vl) +{ + return vloxei8_ta (base, bindex, vl); +} + + +/* +** test_vloxei8_v_f32m1_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m1,\s*tu,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vfloat32m1_t +test_vloxei8_v_f32m1_tu (vfloat32m1_t dest, float32_t *base, vuint8mf4_t bindex, size_t vl) +{ + return vloxei8_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei8_v_f64m2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m2,\s*ta,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vfloat64m2_t +test_vloxei8_v_f64m2_ta (float64_t *base, vuint8mf4_t bindex, size_t vl) +{ + return vloxei8_ta (base, bindex, vl); +} + + +/* +** test_vloxei8_v_f64m2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m2,\s*tu,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vfloat64m2_t +test_vloxei8_v_f64m2_tu (vfloat64m2_t dest, float64_t *base, vuint8mf4_t bindex, size_t vl) +{ + return vloxei8_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei8_v_f32m2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m2,\s*ta,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vfloat32m2_t +test_vloxei8_v_f32m2_ta (float32_t *base, vuint8mf2_t bindex, size_t vl) +{ + return vloxei8_ta (base, bindex, vl); +} + + +/* +** test_vloxei8_v_f32m2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m2,\s*tu,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vfloat32m2_t +test_vloxei8_v_f32m2_tu (vfloat32m2_t dest, float32_t *base, vuint8mf2_t bindex, size_t vl) +{ + return vloxei8_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei8_v_f64m4_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m4,\s*ta,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vfloat64m4_t +test_vloxei8_v_f64m4_ta (float64_t *base, vuint8mf2_t bindex, size_t vl) +{ + return vloxei8_ta (base, bindex, vl); +} + + +/* +** test_vloxei8_v_f64m4_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m4,\s*tu,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vfloat64m4_t +test_vloxei8_v_f64m4_tu (vfloat64m4_t dest, float64_t *base, vuint8mf2_t bindex, size_t vl) +{ + return vloxei8_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei8_v_f32m4_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m4,\s*ta,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vfloat32m4_t +test_vloxei8_v_f32m4_ta (float32_t *base, vuint8m1_t bindex, size_t vl) +{ + return vloxei8_ta (base, bindex, vl); +} + + +/* +** test_vloxei8_v_f32m4_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m4,\s*tu,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vfloat32m4_t +test_vloxei8_v_f32m4_tu (vfloat32m4_t dest, float32_t *base, vuint8m1_t bindex, size_t vl) +{ + return vloxei8_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei8_v_f64m8_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m8,\s*ta,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[08]|v16|v24),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vfloat64m8_t +test_vloxei8_v_f64m8_ta (float64_t *base, vuint8m1_t bindex, size_t vl) +{ + return vloxei8_ta (base, bindex, vl); +} + + +/* +** test_vloxei8_v_f64m8_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m8,\s*tu,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[08]|v16|v24),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vfloat64m8_t +test_vloxei8_v_f64m8_tu (vfloat64m8_t dest, float64_t *base, vuint8m1_t bindex, size_t vl) +{ + return vloxei8_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei8_v_f32m8_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m8,\s*ta,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[08]|v16|v24),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vfloat32m8_t +test_vloxei8_v_f32m8_ta (float32_t *base, vuint8m2_t bindex, size_t vl) +{ + return vloxei8_ta (base, bindex, vl); +} + + +/* +** test_vloxei8_v_f32m8_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m8,\s*tu,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[08]|v16|v24),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vfloat32m8_t +test_vloxei8_v_f32m8_tu (vfloat32m8_t dest, float32_t *base, vuint8m2_t bindex, size_t vl) +{ + return vloxei8_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei16_v_f32mf2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*mf2,\s*ta,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vfloat32mf2_t +test_vloxei16_v_f32mf2_ta (float32_t *base, vuint16mf4_t bindex, size_t vl) +{ + return vloxei16_ta (base, bindex, vl); +} + + +/* +** test_vloxei16_v_f32mf2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*mf2,\s*tu,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vfloat32mf2_t +test_vloxei16_v_f32mf2_tu (vfloat32mf2_t dest, float32_t *base, vuint16mf4_t bindex, size_t vl) +{ + return vloxei16_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei16_v_f64m1_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m1,\s*ta,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vfloat64m1_t +test_vloxei16_v_f64m1_ta (float64_t *base, vuint16mf4_t bindex, size_t vl) +{ + return vloxei16_ta (base, bindex, vl); +} + + +/* +** test_vloxei16_v_f64m1_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m1,\s*tu,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vfloat64m1_t +test_vloxei16_v_f64m1_tu (vfloat64m1_t dest, float64_t *base, vuint16mf4_t bindex, size_t vl) +{ + return vloxei16_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei16_v_f32m1_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m1,\s*ta,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vfloat32m1_t +test_vloxei16_v_f32m1_ta (float32_t *base, vuint16mf2_t bindex, size_t vl) +{ + return vloxei16_ta (base, bindex, vl); +} + + +/* +** test_vloxei16_v_f32m1_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m1,\s*tu,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vfloat32m1_t +test_vloxei16_v_f32m1_tu (vfloat32m1_t dest, float32_t *base, vuint16mf2_t bindex, size_t vl) +{ + return vloxei16_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei16_v_f64m2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m2,\s*ta,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vfloat64m2_t +test_vloxei16_v_f64m2_ta (float64_t *base, vuint16mf2_t bindex, size_t vl) +{ + return vloxei16_ta (base, bindex, vl); +} + + +/* +** test_vloxei16_v_f64m2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m2,\s*tu,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vfloat64m2_t +test_vloxei16_v_f64m2_tu (vfloat64m2_t dest, float64_t *base, vuint16mf2_t bindex, size_t vl) +{ + return vloxei16_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei16_v_f32m2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m2,\s*ta,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vfloat32m2_t +test_vloxei16_v_f32m2_ta (float32_t *base, vuint16m1_t bindex, size_t vl) +{ + return vloxei16_ta (base, bindex, vl); +} + + +/* +** test_vloxei16_v_f32m2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m2,\s*tu,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vfloat32m2_t +test_vloxei16_v_f32m2_tu (vfloat32m2_t dest, float32_t *base, vuint16m1_t bindex, size_t vl) +{ + return vloxei16_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei16_v_f64m4_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m4,\s*ta,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vfloat64m4_t +test_vloxei16_v_f64m4_ta (float64_t *base, vuint16m1_t bindex, size_t vl) +{ + return vloxei16_ta (base, bindex, vl); +} + + +/* +** test_vloxei16_v_f64m4_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m4,\s*tu,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vfloat64m4_t +test_vloxei16_v_f64m4_tu (vfloat64m4_t dest, float64_t *base, vuint16m1_t bindex, size_t vl) +{ + return vloxei16_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei16_v_f32m4_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m4,\s*ta,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vfloat32m4_t +test_vloxei16_v_f32m4_ta (float32_t *base, vuint16m2_t bindex, size_t vl) +{ + return vloxei16_ta (base, bindex, vl); +} + + +/* +** test_vloxei16_v_f32m4_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m4,\s*tu,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vfloat32m4_t +test_vloxei16_v_f32m4_tu (vfloat32m4_t dest, float32_t *base, vuint16m2_t bindex, size_t vl) +{ + return vloxei16_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei16_v_f64m8_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m8,\s*ta,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[08]|v16|v24),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vfloat64m8_t +test_vloxei16_v_f64m8_ta (float64_t *base, vuint16m2_t bindex, size_t vl) +{ + return vloxei16_ta (base, bindex, vl); +} + + +/* +** test_vloxei16_v_f64m8_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m8,\s*tu,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[08]|v16|v24),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vfloat64m8_t +test_vloxei16_v_f64m8_tu (vfloat64m8_t dest, float64_t *base, vuint16m2_t bindex, size_t vl) +{ + return vloxei16_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei16_v_f32m8_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m8,\s*ta,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[08]|v16|v24),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vfloat32m8_t +test_vloxei16_v_f32m8_ta (float32_t *base, vuint16m4_t bindex, size_t vl) +{ + return vloxei16_ta (base, bindex, vl); +} + + +/* +** test_vloxei16_v_f32m8_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m8,\s*tu,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[08]|v16|v24),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vfloat32m8_t +test_vloxei16_v_f32m8_tu (vfloat32m8_t dest, float32_t *base, vuint16m4_t bindex, size_t vl) +{ + return vloxei16_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei32_v_f32mf2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*mf2,\s*ta,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vfloat32mf2_t +test_vloxei32_v_f32mf2_ta (float32_t *base, vuint32mf2_t bindex, size_t vl) +{ + return vloxei32_ta (base, bindex, vl); +} + + +/* +** test_vloxei32_v_f32mf2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*mf2,\s*tu,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vfloat32mf2_t +test_vloxei32_v_f32mf2_tu (vfloat32mf2_t dest, float32_t *base, vuint32mf2_t bindex, size_t vl) +{ + return vloxei32_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei32_v_f64m1_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m1,\s*ta,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vfloat64m1_t +test_vloxei32_v_f64m1_ta (float64_t *base, vuint32mf2_t bindex, size_t vl) +{ + return vloxei32_ta (base, bindex, vl); +} + + +/* +** test_vloxei32_v_f64m1_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m1,\s*tu,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vfloat64m1_t +test_vloxei32_v_f64m1_tu (vfloat64m1_t dest, float64_t *base, vuint32mf2_t bindex, size_t vl) +{ + return vloxei32_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei32_v_f32m1_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m1,\s*ta,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vfloat32m1_t +test_vloxei32_v_f32m1_ta (float32_t *base, vuint32m1_t bindex, size_t vl) +{ + return vloxei32_ta (base, bindex, vl); +} + + +/* +** test_vloxei32_v_f32m1_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m1,\s*tu,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vfloat32m1_t +test_vloxei32_v_f32m1_tu (vfloat32m1_t dest, float32_t *base, vuint32m1_t bindex, size_t vl) +{ + return vloxei32_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei32_v_f64m2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m2,\s*ta,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vfloat64m2_t +test_vloxei32_v_f64m2_ta (float64_t *base, vuint32m1_t bindex, size_t vl) +{ + return vloxei32_ta (base, bindex, vl); +} + + +/* +** test_vloxei32_v_f64m2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m2,\s*tu,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vfloat64m2_t +test_vloxei32_v_f64m2_tu (vfloat64m2_t dest, float64_t *base, vuint32m1_t bindex, size_t vl) +{ + return vloxei32_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei32_v_f32m2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m2,\s*ta,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vfloat32m2_t +test_vloxei32_v_f32m2_ta (float32_t *base, vuint32m2_t bindex, size_t vl) +{ + return vloxei32_ta (base, bindex, vl); +} + + +/* +** test_vloxei32_v_f32m2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m2,\s*tu,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vfloat32m2_t +test_vloxei32_v_f32m2_tu (vfloat32m2_t dest, float32_t *base, vuint32m2_t bindex, size_t vl) +{ + return vloxei32_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei32_v_f64m4_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m4,\s*ta,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vfloat64m4_t +test_vloxei32_v_f64m4_ta (float64_t *base, vuint32m2_t bindex, size_t vl) +{ + return vloxei32_ta (base, bindex, vl); +} + + +/* +** test_vloxei32_v_f64m4_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m4,\s*tu,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vfloat64m4_t +test_vloxei32_v_f64m4_tu (vfloat64m4_t dest, float64_t *base, vuint32m2_t bindex, size_t vl) +{ + return vloxei32_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei32_v_f32m4_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m4,\s*ta,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vfloat32m4_t +test_vloxei32_v_f32m4_ta (float32_t *base, vuint32m4_t bindex, size_t vl) +{ + return vloxei32_ta (base, bindex, vl); +} + + +/* +** test_vloxei32_v_f32m4_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m4,\s*tu,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vfloat32m4_t +test_vloxei32_v_f32m4_tu (vfloat32m4_t dest, float32_t *base, vuint32m4_t bindex, size_t vl) +{ + return vloxei32_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei32_v_f64m8_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m8,\s*ta,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[08]|v16|v24),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vfloat64m8_t +test_vloxei32_v_f64m8_ta (float64_t *base, vuint32m4_t bindex, size_t vl) +{ + return vloxei32_ta (base, bindex, vl); +} + + +/* +** test_vloxei32_v_f64m8_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m8,\s*tu,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[08]|v16|v24),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vfloat64m8_t +test_vloxei32_v_f64m8_tu (vfloat64m8_t dest, float64_t *base, vuint32m4_t bindex, size_t vl) +{ + return vloxei32_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei32_v_f32m8_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m8,\s*ta,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[08]|v16|v24),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[08]|v16|v24) +** ... +** ret +*/ +extern "C" vfloat32m8_t +test_vloxei32_v_f32m8_ta (float32_t *base, vuint32m8_t bindex, size_t vl) +{ + return vloxei32_ta (base, bindex, vl); +} + + +/* +** test_vloxei32_v_f32m8_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m8,\s*tu,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[08]|v16|v24),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[08]|v16|v24) +** ... +** ret +*/ +extern "C" vfloat32m8_t +test_vloxei32_v_f32m8_tu (vfloat32m8_t dest, float32_t *base, vuint32m8_t bindex, size_t vl) +{ + return vloxei32_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei64_v_f32mf2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*mf2,\s*ta,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vfloat32mf2_t +test_vloxei64_v_f32mf2_ta (float32_t *base, vuint64m1_t bindex, size_t vl) +{ + return vloxei64_ta (base, bindex, vl); +} + + +/* +** test_vloxei64_v_f32mf2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*mf2,\s*tu,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vfloat32mf2_t +test_vloxei64_v_f32mf2_tu (vfloat32mf2_t dest, float32_t *base, vuint64m1_t bindex, size_t vl) +{ + return vloxei64_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei64_v_f64m1_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m1,\s*ta,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vfloat64m1_t +test_vloxei64_v_f64m1_ta (float64_t *base, vuint64m1_t bindex, size_t vl) +{ + return vloxei64_ta (base, bindex, vl); +} + + +/* +** test_vloxei64_v_f64m1_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m1,\s*tu,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vfloat64m1_t +test_vloxei64_v_f64m1_tu (vfloat64m1_t dest, float64_t *base, vuint64m1_t bindex, size_t vl) +{ + return vloxei64_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei64_v_f32m1_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m1,\s*ta,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vfloat32m1_t +test_vloxei64_v_f32m1_ta (float32_t *base, vuint64m2_t bindex, size_t vl) +{ + return vloxei64_ta (base, bindex, vl); +} + + +/* +** test_vloxei64_v_f32m1_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m1,\s*tu,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vfloat32m1_t +test_vloxei64_v_f32m1_tu (vfloat32m1_t dest, float32_t *base, vuint64m2_t bindex, size_t vl) +{ + return vloxei64_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei64_v_f64m2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m2,\s*ta,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vfloat64m2_t +test_vloxei64_v_f64m2_ta (float64_t *base, vuint64m2_t bindex, size_t vl) +{ + return vloxei64_ta (base, bindex, vl); +} + + +/* +** test_vloxei64_v_f64m2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m2,\s*tu,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vfloat64m2_t +test_vloxei64_v_f64m2_tu (vfloat64m2_t dest, float64_t *base, vuint64m2_t bindex, size_t vl) +{ + return vloxei64_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei64_v_f32m2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m2,\s*ta,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vfloat32m2_t +test_vloxei64_v_f32m2_ta (float32_t *base, vuint64m4_t bindex, size_t vl) +{ + return vloxei64_ta (base, bindex, vl); +} + + +/* +** test_vloxei64_v_f32m2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m2,\s*tu,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vfloat32m2_t +test_vloxei64_v_f32m2_tu (vfloat32m2_t dest, float32_t *base, vuint64m4_t bindex, size_t vl) +{ + return vloxei64_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei64_v_f64m4_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m4,\s*ta,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vfloat64m4_t +test_vloxei64_v_f64m4_ta (float64_t *base, vuint64m4_t bindex, size_t vl) +{ + return vloxei64_ta (base, bindex, vl); +} + + +/* +** test_vloxei64_v_f64m4_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m4,\s*tu,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vfloat64m4_t +test_vloxei64_v_f64m4_tu (vfloat64m4_t dest, float64_t *base, vuint64m4_t bindex, size_t vl) +{ + return vloxei64_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei64_v_f32m4_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m4,\s*ta,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[08]|v16|v24) +** ... +** ret +*/ +extern "C" vfloat32m4_t +test_vloxei64_v_f32m4_ta (float32_t *base, vuint64m8_t bindex, size_t vl) +{ + return vloxei64_ta (base, bindex, vl); +} + + +/* +** test_vloxei64_v_f32m4_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m4,\s*tu,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[08]|v16|v24) +** ... +** ret +*/ +extern "C" vfloat32m4_t +test_vloxei64_v_f32m4_tu (vfloat32m4_t dest, float32_t *base, vuint64m8_t bindex, size_t vl) +{ + return vloxei64_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei64_v_f64m8_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m8,\s*ta,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[08]|v16|v24),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[08]|v16|v24) +** ... +** ret +*/ +extern "C" vfloat64m8_t +test_vloxei64_v_f64m8_ta (float64_t *base, vuint64m8_t bindex, size_t vl) +{ + return vloxei64_ta (base, bindex, vl); +} + + +/* +** test_vloxei64_v_f64m8_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m8,\s*tu,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[08]|v16|v24),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[08]|v16|v24) +** ... +** ret +*/ +extern "C" vfloat64m8_t +test_vloxei64_v_f64m8_tu (vfloat64m8_t dest, float64_t *base, vuint64m8_t bindex, size_t vl) +{ + return vloxei64_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei8_v_i8mf8_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*mf8,\s*ta,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint8mf8_t +test_vloxei8_v_i8mf8_ta (int8_t *base, vuint8mf8_t bindex, size_t vl) +{ + return vloxei8_ta (base, bindex, vl); +} + + +/* +** test_vloxei8_v_i8mf8_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*mf8,\s*tu,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint8mf8_t +test_vloxei8_v_i8mf8_tu (vint8mf8_t dest, int8_t *base, vuint8mf8_t bindex, size_t vl) +{ + return vloxei8_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei8_v_i16mf4_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*mf4,\s*ta,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint16mf4_t +test_vloxei8_v_i16mf4_ta (int16_t *base, vuint8mf8_t bindex, size_t vl) +{ + return vloxei8_ta (base, bindex, vl); +} + + +/* +** test_vloxei8_v_i16mf4_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*mf4,\s*tu,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint16mf4_t +test_vloxei8_v_i16mf4_tu (vint16mf4_t dest, int16_t *base, vuint8mf8_t bindex, size_t vl) +{ + return vloxei8_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei8_v_i32mf2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*mf2,\s*ta,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint32mf2_t +test_vloxei8_v_i32mf2_ta (int32_t *base, vuint8mf8_t bindex, size_t vl) +{ + return vloxei8_ta (base, bindex, vl); +} + + +/* +** test_vloxei8_v_i32mf2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*mf2,\s*tu,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint32mf2_t +test_vloxei8_v_i32mf2_tu (vint32mf2_t dest, int32_t *base, vuint8mf8_t bindex, size_t vl) +{ + return vloxei8_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei8_v_i64m1_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m1,\s*ta,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint64m1_t +test_vloxei8_v_i64m1_ta (int64_t *base, vuint8mf8_t bindex, size_t vl) +{ + return vloxei8_ta (base, bindex, vl); +} + + +/* +** test_vloxei8_v_i64m1_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m1,\s*tu,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint64m1_t +test_vloxei8_v_i64m1_tu (vint64m1_t dest, int64_t *base, vuint8mf8_t bindex, size_t vl) +{ + return vloxei8_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei8_v_i8mf4_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*mf4,\s*ta,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint8mf4_t +test_vloxei8_v_i8mf4_ta (int8_t *base, vuint8mf4_t bindex, size_t vl) +{ + return vloxei8_ta (base, bindex, vl); +} + + +/* +** test_vloxei8_v_i8mf4_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*mf4,\s*tu,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint8mf4_t +test_vloxei8_v_i8mf4_tu (vint8mf4_t dest, int8_t *base, vuint8mf4_t bindex, size_t vl) +{ + return vloxei8_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei8_v_i16mf2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*mf2,\s*ta,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint16mf2_t +test_vloxei8_v_i16mf2_ta (int16_t *base, vuint8mf4_t bindex, size_t vl) +{ + return vloxei8_ta (base, bindex, vl); +} + + +/* +** test_vloxei8_v_i16mf2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*mf2,\s*tu,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint16mf2_t +test_vloxei8_v_i16mf2_tu (vint16mf2_t dest, int16_t *base, vuint8mf4_t bindex, size_t vl) +{ + return vloxei8_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei8_v_i32m1_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m1,\s*ta,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint32m1_t +test_vloxei8_v_i32m1_ta (int32_t *base, vuint8mf4_t bindex, size_t vl) +{ + return vloxei8_ta (base, bindex, vl); +} + + +/* +** test_vloxei8_v_i32m1_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m1,\s*tu,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint32m1_t +test_vloxei8_v_i32m1_tu (vint32m1_t dest, int32_t *base, vuint8mf4_t bindex, size_t vl) +{ + return vloxei8_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei8_v_i64m2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m2,\s*ta,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint64m2_t +test_vloxei8_v_i64m2_ta (int64_t *base, vuint8mf4_t bindex, size_t vl) +{ + return vloxei8_ta (base, bindex, vl); +} + + +/* +** test_vloxei8_v_i64m2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m2,\s*tu,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint64m2_t +test_vloxei8_v_i64m2_tu (vint64m2_t dest, int64_t *base, vuint8mf4_t bindex, size_t vl) +{ + return vloxei8_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei8_v_i8mf2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*mf2,\s*ta,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint8mf2_t +test_vloxei8_v_i8mf2_ta (int8_t *base, vuint8mf2_t bindex, size_t vl) +{ + return vloxei8_ta (base, bindex, vl); +} + + +/* +** test_vloxei8_v_i8mf2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*mf2,\s*tu,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint8mf2_t +test_vloxei8_v_i8mf2_tu (vint8mf2_t dest, int8_t *base, vuint8mf2_t bindex, size_t vl) +{ + return vloxei8_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei8_v_i16m1_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*m1,\s*ta,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint16m1_t +test_vloxei8_v_i16m1_ta (int16_t *base, vuint8mf2_t bindex, size_t vl) +{ + return vloxei8_ta (base, bindex, vl); +} + + +/* +** test_vloxei8_v_i16m1_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*m1,\s*tu,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint16m1_t +test_vloxei8_v_i16m1_tu (vint16m1_t dest, int16_t *base, vuint8mf2_t bindex, size_t vl) +{ + return vloxei8_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei8_v_i32m2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m2,\s*ta,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint32m2_t +test_vloxei8_v_i32m2_ta (int32_t *base, vuint8mf2_t bindex, size_t vl) +{ + return vloxei8_ta (base, bindex, vl); +} + + +/* +** test_vloxei8_v_i32m2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m2,\s*tu,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint32m2_t +test_vloxei8_v_i32m2_tu (vint32m2_t dest, int32_t *base, vuint8mf2_t bindex, size_t vl) +{ + return vloxei8_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei8_v_i64m4_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m4,\s*ta,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint64m4_t +test_vloxei8_v_i64m4_ta (int64_t *base, vuint8mf2_t bindex, size_t vl) +{ + return vloxei8_ta (base, bindex, vl); +} + + +/* +** test_vloxei8_v_i64m4_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m4,\s*tu,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint64m4_t +test_vloxei8_v_i64m4_tu (vint64m4_t dest, int64_t *base, vuint8mf2_t bindex, size_t vl) +{ + return vloxei8_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei8_v_i8m1_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*m1,\s*ta,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint8m1_t +test_vloxei8_v_i8m1_ta (int8_t *base, vuint8m1_t bindex, size_t vl) +{ + return vloxei8_ta (base, bindex, vl); +} + + +/* +** test_vloxei8_v_i8m1_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*m1,\s*tu,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint8m1_t +test_vloxei8_v_i8m1_tu (vint8m1_t dest, int8_t *base, vuint8m1_t bindex, size_t vl) +{ + return vloxei8_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei8_v_i16m2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*m2,\s*ta,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint16m2_t +test_vloxei8_v_i16m2_ta (int16_t *base, vuint8m1_t bindex, size_t vl) +{ + return vloxei8_ta (base, bindex, vl); +} + + +/* +** test_vloxei8_v_i16m2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*m2,\s*tu,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint16m2_t +test_vloxei8_v_i16m2_tu (vint16m2_t dest, int16_t *base, vuint8m1_t bindex, size_t vl) +{ + return vloxei8_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei8_v_i32m4_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m4,\s*ta,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint32m4_t +test_vloxei8_v_i32m4_ta (int32_t *base, vuint8m1_t bindex, size_t vl) +{ + return vloxei8_ta (base, bindex, vl); +} + + +/* +** test_vloxei8_v_i32m4_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m4,\s*tu,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint32m4_t +test_vloxei8_v_i32m4_tu (vint32m4_t dest, int32_t *base, vuint8m1_t bindex, size_t vl) +{ + return vloxei8_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei8_v_i64m8_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m8,\s*ta,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[08]|v16|v24),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint64m8_t +test_vloxei8_v_i64m8_ta (int64_t *base, vuint8m1_t bindex, size_t vl) +{ + return vloxei8_ta (base, bindex, vl); +} + + +/* +** test_vloxei8_v_i64m8_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m8,\s*tu,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[08]|v16|v24),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint64m8_t +test_vloxei8_v_i64m8_tu (vint64m8_t dest, int64_t *base, vuint8m1_t bindex, size_t vl) +{ + return vloxei8_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei8_v_i8m2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*m2,\s*ta,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vint8m2_t +test_vloxei8_v_i8m2_ta (int8_t *base, vuint8m2_t bindex, size_t vl) +{ + return vloxei8_ta (base, bindex, vl); +} + + +/* +** test_vloxei8_v_i8m2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*m2,\s*tu,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vint8m2_t +test_vloxei8_v_i8m2_tu (vint8m2_t dest, int8_t *base, vuint8m2_t bindex, size_t vl) +{ + return vloxei8_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei8_v_i16m4_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*m4,\s*ta,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vint16m4_t +test_vloxei8_v_i16m4_ta (int16_t *base, vuint8m2_t bindex, size_t vl) +{ + return vloxei8_ta (base, bindex, vl); +} + + +/* +** test_vloxei8_v_i16m4_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*m4,\s*tu,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vint16m4_t +test_vloxei8_v_i16m4_tu (vint16m4_t dest, int16_t *base, vuint8m2_t bindex, size_t vl) +{ + return vloxei8_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei8_v_i32m8_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m8,\s*ta,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[08]|v16|v24),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vint32m8_t +test_vloxei8_v_i32m8_ta (int32_t *base, vuint8m2_t bindex, size_t vl) +{ + return vloxei8_ta (base, bindex, vl); +} + + +/* +** test_vloxei8_v_i32m8_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m8,\s*tu,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[08]|v16|v24),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vint32m8_t +test_vloxei8_v_i32m8_tu (vint32m8_t dest, int32_t *base, vuint8m2_t bindex, size_t vl) +{ + return vloxei8_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei8_v_i8m4_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*m4,\s*ta,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vint8m4_t +test_vloxei8_v_i8m4_ta (int8_t *base, vuint8m4_t bindex, size_t vl) +{ + return vloxei8_ta (base, bindex, vl); +} + + +/* +** test_vloxei8_v_i8m4_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*m4,\s*tu,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vint8m4_t +test_vloxei8_v_i8m4_tu (vint8m4_t dest, int8_t *base, vuint8m4_t bindex, size_t vl) +{ + return vloxei8_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei8_v_i16m8_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*m8,\s*ta,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[08]|v16|v24),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vint16m8_t +test_vloxei8_v_i16m8_ta (int16_t *base, vuint8m4_t bindex, size_t vl) +{ + return vloxei8_ta (base, bindex, vl); +} + + +/* +** test_vloxei8_v_i16m8_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*m8,\s*tu,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[08]|v16|v24),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vint16m8_t +test_vloxei8_v_i16m8_tu (vint16m8_t dest, int16_t *base, vuint8m4_t bindex, size_t vl) +{ + return vloxei8_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei8_v_i8m8_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*m8,\s*ta,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[08]|v16|v24),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[08]|v16|v24) +** ... +** ret +*/ +extern "C" vint8m8_t +test_vloxei8_v_i8m8_ta (int8_t *base, vuint8m8_t bindex, size_t vl) +{ + return vloxei8_ta (base, bindex, vl); +} + + +/* +** test_vloxei8_v_i8m8_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*m8,\s*tu,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[08]|v16|v24),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[08]|v16|v24) +** ... +** ret +*/ +extern "C" vint8m8_t +test_vloxei8_v_i8m8_tu (vint8m8_t dest, int8_t *base, vuint8m8_t bindex, size_t vl) +{ + return vloxei8_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei16_v_i8mf8_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*mf8,\s*ta,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint8mf8_t +test_vloxei16_v_i8mf8_ta (int8_t *base, vuint16mf4_t bindex, size_t vl) +{ + return vloxei16_ta (base, bindex, vl); +} + + +/* +** test_vloxei16_v_i8mf8_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*mf8,\s*tu,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint8mf8_t +test_vloxei16_v_i8mf8_tu (vint8mf8_t dest, int8_t *base, vuint16mf4_t bindex, size_t vl) +{ + return vloxei16_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei16_v_i16mf4_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*mf4,\s*ta,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint16mf4_t +test_vloxei16_v_i16mf4_ta (int16_t *base, vuint16mf4_t bindex, size_t vl) +{ + return vloxei16_ta (base, bindex, vl); +} + + +/* +** test_vloxei16_v_i16mf4_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*mf4,\s*tu,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint16mf4_t +test_vloxei16_v_i16mf4_tu (vint16mf4_t dest, int16_t *base, vuint16mf4_t bindex, size_t vl) +{ + return vloxei16_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei16_v_i32mf2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*mf2,\s*ta,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint32mf2_t +test_vloxei16_v_i32mf2_ta (int32_t *base, vuint16mf4_t bindex, size_t vl) +{ + return vloxei16_ta (base, bindex, vl); +} + + +/* +** test_vloxei16_v_i32mf2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*mf2,\s*tu,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint32mf2_t +test_vloxei16_v_i32mf2_tu (vint32mf2_t dest, int32_t *base, vuint16mf4_t bindex, size_t vl) +{ + return vloxei16_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei16_v_i64m1_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m1,\s*ta,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint64m1_t +test_vloxei16_v_i64m1_ta (int64_t *base, vuint16mf4_t bindex, size_t vl) +{ + return vloxei16_ta (base, bindex, vl); +} + + +/* +** test_vloxei16_v_i64m1_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m1,\s*tu,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint64m1_t +test_vloxei16_v_i64m1_tu (vint64m1_t dest, int64_t *base, vuint16mf4_t bindex, size_t vl) +{ + return vloxei16_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei16_v_i8mf4_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*mf4,\s*ta,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint8mf4_t +test_vloxei16_v_i8mf4_ta (int8_t *base, vuint16mf2_t bindex, size_t vl) +{ + return vloxei16_ta (base, bindex, vl); +} + + +/* +** test_vloxei16_v_i8mf4_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*mf4,\s*tu,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint8mf4_t +test_vloxei16_v_i8mf4_tu (vint8mf4_t dest, int8_t *base, vuint16mf2_t bindex, size_t vl) +{ + return vloxei16_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei16_v_i16mf2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*mf2,\s*ta,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint16mf2_t +test_vloxei16_v_i16mf2_ta (int16_t *base, vuint16mf2_t bindex, size_t vl) +{ + return vloxei16_ta (base, bindex, vl); +} + + +/* +** test_vloxei16_v_i16mf2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*mf2,\s*tu,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint16mf2_t +test_vloxei16_v_i16mf2_tu (vint16mf2_t dest, int16_t *base, vuint16mf2_t bindex, size_t vl) +{ + return vloxei16_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei16_v_i32m1_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m1,\s*ta,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint32m1_t +test_vloxei16_v_i32m1_ta (int32_t *base, vuint16mf2_t bindex, size_t vl) +{ + return vloxei16_ta (base, bindex, vl); +} + + +/* +** test_vloxei16_v_i32m1_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m1,\s*tu,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint32m1_t +test_vloxei16_v_i32m1_tu (vint32m1_t dest, int32_t *base, vuint16mf2_t bindex, size_t vl) +{ + return vloxei16_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei16_v_i64m2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m2,\s*ta,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint64m2_t +test_vloxei16_v_i64m2_ta (int64_t *base, vuint16mf2_t bindex, size_t vl) +{ + return vloxei16_ta (base, bindex, vl); +} + + +/* +** test_vloxei16_v_i64m2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m2,\s*tu,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint64m2_t +test_vloxei16_v_i64m2_tu (vint64m2_t dest, int64_t *base, vuint16mf2_t bindex, size_t vl) +{ + return vloxei16_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei16_v_i8mf2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*mf2,\s*ta,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint8mf2_t +test_vloxei16_v_i8mf2_ta (int8_t *base, vuint16m1_t bindex, size_t vl) +{ + return vloxei16_ta (base, bindex, vl); +} + + +/* +** test_vloxei16_v_i8mf2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*mf2,\s*tu,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint8mf2_t +test_vloxei16_v_i8mf2_tu (vint8mf2_t dest, int8_t *base, vuint16m1_t bindex, size_t vl) +{ + return vloxei16_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei16_v_i16m1_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*m1,\s*ta,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint16m1_t +test_vloxei16_v_i16m1_ta (int16_t *base, vuint16m1_t bindex, size_t vl) +{ + return vloxei16_ta (base, bindex, vl); +} + + +/* +** test_vloxei16_v_i16m1_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*m1,\s*tu,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint16m1_t +test_vloxei16_v_i16m1_tu (vint16m1_t dest, int16_t *base, vuint16m1_t bindex, size_t vl) +{ + return vloxei16_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei16_v_i32m2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m2,\s*ta,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint32m2_t +test_vloxei16_v_i32m2_ta (int32_t *base, vuint16m1_t bindex, size_t vl) +{ + return vloxei16_ta (base, bindex, vl); +} + + +/* +** test_vloxei16_v_i32m2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m2,\s*tu,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint32m2_t +test_vloxei16_v_i32m2_tu (vint32m2_t dest, int32_t *base, vuint16m1_t bindex, size_t vl) +{ + return vloxei16_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei16_v_i64m4_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m4,\s*ta,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint64m4_t +test_vloxei16_v_i64m4_ta (int64_t *base, vuint16m1_t bindex, size_t vl) +{ + return vloxei16_ta (base, bindex, vl); +} + + +/* +** test_vloxei16_v_i64m4_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m4,\s*tu,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint64m4_t +test_vloxei16_v_i64m4_tu (vint64m4_t dest, int64_t *base, vuint16m1_t bindex, size_t vl) +{ + return vloxei16_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei16_v_i8m1_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*m1,\s*ta,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vint8m1_t +test_vloxei16_v_i8m1_ta (int8_t *base, vuint16m2_t bindex, size_t vl) +{ + return vloxei16_ta (base, bindex, vl); +} + + +/* +** test_vloxei16_v_i8m1_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*m1,\s*tu,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vint8m1_t +test_vloxei16_v_i8m1_tu (vint8m1_t dest, int8_t *base, vuint16m2_t bindex, size_t vl) +{ + return vloxei16_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei16_v_i16m2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*m2,\s*ta,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vint16m2_t +test_vloxei16_v_i16m2_ta (int16_t *base, vuint16m2_t bindex, size_t vl) +{ + return vloxei16_ta (base, bindex, vl); +} + + +/* +** test_vloxei16_v_i16m2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*m2,\s*tu,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vint16m2_t +test_vloxei16_v_i16m2_tu (vint16m2_t dest, int16_t *base, vuint16m2_t bindex, size_t vl) +{ + return vloxei16_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei16_v_i32m4_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m4,\s*ta,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vint32m4_t +test_vloxei16_v_i32m4_ta (int32_t *base, vuint16m2_t bindex, size_t vl) +{ + return vloxei16_ta (base, bindex, vl); +} + + +/* +** test_vloxei16_v_i32m4_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m4,\s*tu,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vint32m4_t +test_vloxei16_v_i32m4_tu (vint32m4_t dest, int32_t *base, vuint16m2_t bindex, size_t vl) +{ + return vloxei16_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei16_v_i64m8_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m8,\s*ta,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[08]|v16|v24),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vint64m8_t +test_vloxei16_v_i64m8_ta (int64_t *base, vuint16m2_t bindex, size_t vl) +{ + return vloxei16_ta (base, bindex, vl); +} + + +/* +** test_vloxei16_v_i64m8_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m8,\s*tu,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[08]|v16|v24),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vint64m8_t +test_vloxei16_v_i64m8_tu (vint64m8_t dest, int64_t *base, vuint16m2_t bindex, size_t vl) +{ + return vloxei16_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei16_v_i8m2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*m2,\s*ta,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vint8m2_t +test_vloxei16_v_i8m2_ta (int8_t *base, vuint16m4_t bindex, size_t vl) +{ + return vloxei16_ta (base, bindex, vl); +} + + +/* +** test_vloxei16_v_i8m2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*m2,\s*tu,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vint8m2_t +test_vloxei16_v_i8m2_tu (vint8m2_t dest, int8_t *base, vuint16m4_t bindex, size_t vl) +{ + return vloxei16_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei16_v_i16m4_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*m4,\s*ta,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vint16m4_t +test_vloxei16_v_i16m4_ta (int16_t *base, vuint16m4_t bindex, size_t vl) +{ + return vloxei16_ta (base, bindex, vl); +} + + +/* +** test_vloxei16_v_i16m4_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*m4,\s*tu,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vint16m4_t +test_vloxei16_v_i16m4_tu (vint16m4_t dest, int16_t *base, vuint16m4_t bindex, size_t vl) +{ + return vloxei16_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei16_v_i32m8_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m8,\s*ta,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[08]|v16|v24),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vint32m8_t +test_vloxei16_v_i32m8_ta (int32_t *base, vuint16m4_t bindex, size_t vl) +{ + return vloxei16_ta (base, bindex, vl); +} + + +/* +** test_vloxei16_v_i32m8_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m8,\s*tu,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[08]|v16|v24),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vint32m8_t +test_vloxei16_v_i32m8_tu (vint32m8_t dest, int32_t *base, vuint16m4_t bindex, size_t vl) +{ + return vloxei16_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei16_v_i8m4_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*m4,\s*ta,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[08]|v16|v24) +** ... +** ret +*/ +extern "C" vint8m4_t +test_vloxei16_v_i8m4_ta (int8_t *base, vuint16m8_t bindex, size_t vl) +{ + return vloxei16_ta (base, bindex, vl); +} + + +/* +** test_vloxei16_v_i8m4_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*m4,\s*tu,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[08]|v16|v24) +** ... +** ret +*/ +extern "C" vint8m4_t +test_vloxei16_v_i8m4_tu (vint8m4_t dest, int8_t *base, vuint16m8_t bindex, size_t vl) +{ + return vloxei16_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei16_v_i16m8_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*m8,\s*ta,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[08]|v16|v24),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[08]|v16|v24) +** ... +** ret +*/ +extern "C" vint16m8_t +test_vloxei16_v_i16m8_ta (int16_t *base, vuint16m8_t bindex, size_t vl) +{ + return vloxei16_ta (base, bindex, vl); +} + + +/* +** test_vloxei16_v_i16m8_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*m8,\s*tu,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[08]|v16|v24),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[08]|v16|v24) +** ... +** ret +*/ +extern "C" vint16m8_t +test_vloxei16_v_i16m8_tu (vint16m8_t dest, int16_t *base, vuint16m8_t bindex, size_t vl) +{ + return vloxei16_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei32_v_i8mf8_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*mf8,\s*ta,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint8mf8_t +test_vloxei32_v_i8mf8_ta (int8_t *base, vuint32mf2_t bindex, size_t vl) +{ + return vloxei32_ta (base, bindex, vl); +} + + +/* +** test_vloxei32_v_i8mf8_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*mf8,\s*tu,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint8mf8_t +test_vloxei32_v_i8mf8_tu (vint8mf8_t dest, int8_t *base, vuint32mf2_t bindex, size_t vl) +{ + return vloxei32_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei32_v_i16mf4_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*mf4,\s*ta,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint16mf4_t +test_vloxei32_v_i16mf4_ta (int16_t *base, vuint32mf2_t bindex, size_t vl) +{ + return vloxei32_ta (base, bindex, vl); +} + + +/* +** test_vloxei32_v_i16mf4_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*mf4,\s*tu,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint16mf4_t +test_vloxei32_v_i16mf4_tu (vint16mf4_t dest, int16_t *base, vuint32mf2_t bindex, size_t vl) +{ + return vloxei32_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei32_v_i32mf2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*mf2,\s*ta,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint32mf2_t +test_vloxei32_v_i32mf2_ta (int32_t *base, vuint32mf2_t bindex, size_t vl) +{ + return vloxei32_ta (base, bindex, vl); +} + + +/* +** test_vloxei32_v_i32mf2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*mf2,\s*tu,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint32mf2_t +test_vloxei32_v_i32mf2_tu (vint32mf2_t dest, int32_t *base, vuint32mf2_t bindex, size_t vl) +{ + return vloxei32_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei32_v_i64m1_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m1,\s*ta,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint64m1_t +test_vloxei32_v_i64m1_ta (int64_t *base, vuint32mf2_t bindex, size_t vl) +{ + return vloxei32_ta (base, bindex, vl); +} + + +/* +** test_vloxei32_v_i64m1_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m1,\s*tu,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint64m1_t +test_vloxei32_v_i64m1_tu (vint64m1_t dest, int64_t *base, vuint32mf2_t bindex, size_t vl) +{ + return vloxei32_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei32_v_i8mf4_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*mf4,\s*ta,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint8mf4_t +test_vloxei32_v_i8mf4_ta (int8_t *base, vuint32m1_t bindex, size_t vl) +{ + return vloxei32_ta (base, bindex, vl); +} + + +/* +** test_vloxei32_v_i8mf4_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*mf4,\s*tu,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint8mf4_t +test_vloxei32_v_i8mf4_tu (vint8mf4_t dest, int8_t *base, vuint32m1_t bindex, size_t vl) +{ + return vloxei32_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei32_v_i16mf2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*mf2,\s*ta,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint16mf2_t +test_vloxei32_v_i16mf2_ta (int16_t *base, vuint32m1_t bindex, size_t vl) +{ + return vloxei32_ta (base, bindex, vl); +} + + +/* +** test_vloxei32_v_i16mf2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*mf2,\s*tu,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint16mf2_t +test_vloxei32_v_i16mf2_tu (vint16mf2_t dest, int16_t *base, vuint32m1_t bindex, size_t vl) +{ + return vloxei32_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei32_v_i32m1_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m1,\s*ta,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint32m1_t +test_vloxei32_v_i32m1_ta (int32_t *base, vuint32m1_t bindex, size_t vl) +{ + return vloxei32_ta (base, bindex, vl); +} + + +/* +** test_vloxei32_v_i32m1_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m1,\s*tu,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint32m1_t +test_vloxei32_v_i32m1_tu (vint32m1_t dest, int32_t *base, vuint32m1_t bindex, size_t vl) +{ + return vloxei32_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei32_v_i64m2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m2,\s*ta,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint64m2_t +test_vloxei32_v_i64m2_ta (int64_t *base, vuint32m1_t bindex, size_t vl) +{ + return vloxei32_ta (base, bindex, vl); +} + + +/* +** test_vloxei32_v_i64m2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m2,\s*tu,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint64m2_t +test_vloxei32_v_i64m2_tu (vint64m2_t dest, int64_t *base, vuint32m1_t bindex, size_t vl) +{ + return vloxei32_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei32_v_i8mf2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*mf2,\s*ta,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vint8mf2_t +test_vloxei32_v_i8mf2_ta (int8_t *base, vuint32m2_t bindex, size_t vl) +{ + return vloxei32_ta (base, bindex, vl); +} + + +/* +** test_vloxei32_v_i8mf2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*mf2,\s*tu,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vint8mf2_t +test_vloxei32_v_i8mf2_tu (vint8mf2_t dest, int8_t *base, vuint32m2_t bindex, size_t vl) +{ + return vloxei32_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei32_v_i16m1_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*m1,\s*ta,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vint16m1_t +test_vloxei32_v_i16m1_ta (int16_t *base, vuint32m2_t bindex, size_t vl) +{ + return vloxei32_ta (base, bindex, vl); +} + + +/* +** test_vloxei32_v_i16m1_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*m1,\s*tu,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vint16m1_t +test_vloxei32_v_i16m1_tu (vint16m1_t dest, int16_t *base, vuint32m2_t bindex, size_t vl) +{ + return vloxei32_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei32_v_i32m2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m2,\s*ta,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vint32m2_t +test_vloxei32_v_i32m2_ta (int32_t *base, vuint32m2_t bindex, size_t vl) +{ + return vloxei32_ta (base, bindex, vl); +} + + +/* +** test_vloxei32_v_i32m2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m2,\s*tu,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vint32m2_t +test_vloxei32_v_i32m2_tu (vint32m2_t dest, int32_t *base, vuint32m2_t bindex, size_t vl) +{ + return vloxei32_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei32_v_i64m4_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m4,\s*ta,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vint64m4_t +test_vloxei32_v_i64m4_ta (int64_t *base, vuint32m2_t bindex, size_t vl) +{ + return vloxei32_ta (base, bindex, vl); +} + + +/* +** test_vloxei32_v_i64m4_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m4,\s*tu,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vint64m4_t +test_vloxei32_v_i64m4_tu (vint64m4_t dest, int64_t *base, vuint32m2_t bindex, size_t vl) +{ + return vloxei32_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei32_v_i8m1_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*m1,\s*ta,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vint8m1_t +test_vloxei32_v_i8m1_ta (int8_t *base, vuint32m4_t bindex, size_t vl) +{ + return vloxei32_ta (base, bindex, vl); +} + + +/* +** test_vloxei32_v_i8m1_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*m1,\s*tu,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vint8m1_t +test_vloxei32_v_i8m1_tu (vint8m1_t dest, int8_t *base, vuint32m4_t bindex, size_t vl) +{ + return vloxei32_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei32_v_i16m2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*m2,\s*ta,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vint16m2_t +test_vloxei32_v_i16m2_ta (int16_t *base, vuint32m4_t bindex, size_t vl) +{ + return vloxei32_ta (base, bindex, vl); +} + + +/* +** test_vloxei32_v_i16m2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*m2,\s*tu,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vint16m2_t +test_vloxei32_v_i16m2_tu (vint16m2_t dest, int16_t *base, vuint32m4_t bindex, size_t vl) +{ + return vloxei32_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei32_v_i32m4_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m4,\s*ta,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vint32m4_t +test_vloxei32_v_i32m4_ta (int32_t *base, vuint32m4_t bindex, size_t vl) +{ + return vloxei32_ta (base, bindex, vl); +} + + +/* +** test_vloxei32_v_i32m4_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m4,\s*tu,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vint32m4_t +test_vloxei32_v_i32m4_tu (vint32m4_t dest, int32_t *base, vuint32m4_t bindex, size_t vl) +{ + return vloxei32_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei32_v_i64m8_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m8,\s*ta,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[08]|v16|v24),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vint64m8_t +test_vloxei32_v_i64m8_ta (int64_t *base, vuint32m4_t bindex, size_t vl) +{ + return vloxei32_ta (base, bindex, vl); +} + + +/* +** test_vloxei32_v_i64m8_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m8,\s*tu,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[08]|v16|v24),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vint64m8_t +test_vloxei32_v_i64m8_tu (vint64m8_t dest, int64_t *base, vuint32m4_t bindex, size_t vl) +{ + return vloxei32_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei32_v_i8m2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*m2,\s*ta,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[08]|v16|v24) +** ... +** ret +*/ +extern "C" vint8m2_t +test_vloxei32_v_i8m2_ta (int8_t *base, vuint32m8_t bindex, size_t vl) +{ + return vloxei32_ta (base, bindex, vl); +} + + +/* +** test_vloxei32_v_i8m2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*m2,\s*tu,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[08]|v16|v24) +** ... +** ret +*/ +extern "C" vint8m2_t +test_vloxei32_v_i8m2_tu (vint8m2_t dest, int8_t *base, vuint32m8_t bindex, size_t vl) +{ + return vloxei32_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei32_v_i16m4_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*m4,\s*ta,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[08]|v16|v24) +** ... +** ret +*/ +extern "C" vint16m4_t +test_vloxei32_v_i16m4_ta (int16_t *base, vuint32m8_t bindex, size_t vl) +{ + return vloxei32_ta (base, bindex, vl); +} + + +/* +** test_vloxei32_v_i16m4_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*m4,\s*tu,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[08]|v16|v24) +** ... +** ret +*/ +extern "C" vint16m4_t +test_vloxei32_v_i16m4_tu (vint16m4_t dest, int16_t *base, vuint32m8_t bindex, size_t vl) +{ + return vloxei32_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei32_v_i32m8_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m8,\s*ta,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[08]|v16|v24),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[08]|v16|v24) +** ... +** ret +*/ +extern "C" vint32m8_t +test_vloxei32_v_i32m8_ta (int32_t *base, vuint32m8_t bindex, size_t vl) +{ + return vloxei32_ta (base, bindex, vl); +} + + +/* +** test_vloxei32_v_i32m8_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m8,\s*tu,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[08]|v16|v24),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[08]|v16|v24) +** ... +** ret +*/ +extern "C" vint32m8_t +test_vloxei32_v_i32m8_tu (vint32m8_t dest, int32_t *base, vuint32m8_t bindex, size_t vl) +{ + return vloxei32_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei64_v_i8mf8_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*mf8,\s*ta,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint8mf8_t +test_vloxei64_v_i8mf8_ta (int8_t *base, vuint64m1_t bindex, size_t vl) +{ + return vloxei64_ta (base, bindex, vl); +} + + +/* +** test_vloxei64_v_i8mf8_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*mf8,\s*tu,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint8mf8_t +test_vloxei64_v_i8mf8_tu (vint8mf8_t dest, int8_t *base, vuint64m1_t bindex, size_t vl) +{ + return vloxei64_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei64_v_i16mf4_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*mf4,\s*ta,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint16mf4_t +test_vloxei64_v_i16mf4_ta (int16_t *base, vuint64m1_t bindex, size_t vl) +{ + return vloxei64_ta (base, bindex, vl); +} + + +/* +** test_vloxei64_v_i16mf4_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*mf4,\s*tu,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint16mf4_t +test_vloxei64_v_i16mf4_tu (vint16mf4_t dest, int16_t *base, vuint64m1_t bindex, size_t vl) +{ + return vloxei64_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei64_v_i32mf2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*mf2,\s*ta,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint32mf2_t +test_vloxei64_v_i32mf2_ta (int32_t *base, vuint64m1_t bindex, size_t vl) +{ + return vloxei64_ta (base, bindex, vl); +} + + +/* +** test_vloxei64_v_i32mf2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*mf2,\s*tu,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint32mf2_t +test_vloxei64_v_i32mf2_tu (vint32mf2_t dest, int32_t *base, vuint64m1_t bindex, size_t vl) +{ + return vloxei64_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei64_v_i64m1_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m1,\s*ta,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint64m1_t +test_vloxei64_v_i64m1_ta (int64_t *base, vuint64m1_t bindex, size_t vl) +{ + return vloxei64_ta (base, bindex, vl); +} + + +/* +** test_vloxei64_v_i64m1_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m1,\s*tu,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vint64m1_t +test_vloxei64_v_i64m1_tu (vint64m1_t dest, int64_t *base, vuint64m1_t bindex, size_t vl) +{ + return vloxei64_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei64_v_i8mf4_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*mf4,\s*ta,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vint8mf4_t +test_vloxei64_v_i8mf4_ta (int8_t *base, vuint64m2_t bindex, size_t vl) +{ + return vloxei64_ta (base, bindex, vl); +} + + +/* +** test_vloxei64_v_i8mf4_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*mf4,\s*tu,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vint8mf4_t +test_vloxei64_v_i8mf4_tu (vint8mf4_t dest, int8_t *base, vuint64m2_t bindex, size_t vl) +{ + return vloxei64_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei64_v_i16mf2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*mf2,\s*ta,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vint16mf2_t +test_vloxei64_v_i16mf2_ta (int16_t *base, vuint64m2_t bindex, size_t vl) +{ + return vloxei64_ta (base, bindex, vl); +} + + +/* +** test_vloxei64_v_i16mf2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*mf2,\s*tu,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vint16mf2_t +test_vloxei64_v_i16mf2_tu (vint16mf2_t dest, int16_t *base, vuint64m2_t bindex, size_t vl) +{ + return vloxei64_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei64_v_i32m1_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m1,\s*ta,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vint32m1_t +test_vloxei64_v_i32m1_ta (int32_t *base, vuint64m2_t bindex, size_t vl) +{ + return vloxei64_ta (base, bindex, vl); +} + + +/* +** test_vloxei64_v_i32m1_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m1,\s*tu,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vint32m1_t +test_vloxei64_v_i32m1_tu (vint32m1_t dest, int32_t *base, vuint64m2_t bindex, size_t vl) +{ + return vloxei64_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei64_v_i64m2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m2,\s*ta,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vint64m2_t +test_vloxei64_v_i64m2_ta (int64_t *base, vuint64m2_t bindex, size_t vl) +{ + return vloxei64_ta (base, bindex, vl); +} + + +/* +** test_vloxei64_v_i64m2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m2,\s*tu,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vint64m2_t +test_vloxei64_v_i64m2_tu (vint64m2_t dest, int64_t *base, vuint64m2_t bindex, size_t vl) +{ + return vloxei64_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei64_v_i8mf2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*mf2,\s*ta,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vint8mf2_t +test_vloxei64_v_i8mf2_ta (int8_t *base, vuint64m4_t bindex, size_t vl) +{ + return vloxei64_ta (base, bindex, vl); +} + + +/* +** test_vloxei64_v_i8mf2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*mf2,\s*tu,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vint8mf2_t +test_vloxei64_v_i8mf2_tu (vint8mf2_t dest, int8_t *base, vuint64m4_t bindex, size_t vl) +{ + return vloxei64_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei64_v_i16m1_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*m1,\s*ta,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vint16m1_t +test_vloxei64_v_i16m1_ta (int16_t *base, vuint64m4_t bindex, size_t vl) +{ + return vloxei64_ta (base, bindex, vl); +} + + +/* +** test_vloxei64_v_i16m1_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*m1,\s*tu,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vint16m1_t +test_vloxei64_v_i16m1_tu (vint16m1_t dest, int16_t *base, vuint64m4_t bindex, size_t vl) +{ + return vloxei64_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei64_v_i32m2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m2,\s*ta,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vint32m2_t +test_vloxei64_v_i32m2_ta (int32_t *base, vuint64m4_t bindex, size_t vl) +{ + return vloxei64_ta (base, bindex, vl); +} + + +/* +** test_vloxei64_v_i32m2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m2,\s*tu,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vint32m2_t +test_vloxei64_v_i32m2_tu (vint32m2_t dest, int32_t *base, vuint64m4_t bindex, size_t vl) +{ + return vloxei64_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei64_v_i64m4_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m4,\s*ta,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vint64m4_t +test_vloxei64_v_i64m4_ta (int64_t *base, vuint64m4_t bindex, size_t vl) +{ + return vloxei64_ta (base, bindex, vl); +} + + +/* +** test_vloxei64_v_i64m4_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m4,\s*tu,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vint64m4_t +test_vloxei64_v_i64m4_tu (vint64m4_t dest, int64_t *base, vuint64m4_t bindex, size_t vl) +{ + return vloxei64_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei64_v_i8m1_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*m1,\s*ta,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[08]|v16|v24) +** ... +** ret +*/ +extern "C" vint8m1_t +test_vloxei64_v_i8m1_ta (int8_t *base, vuint64m8_t bindex, size_t vl) +{ + return vloxei64_ta (base, bindex, vl); +} + + +/* +** test_vloxei64_v_i8m1_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*m1,\s*tu,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[08]|v16|v24) +** ... +** ret +*/ +extern "C" vint8m1_t +test_vloxei64_v_i8m1_tu (vint8m1_t dest, int8_t *base, vuint64m8_t bindex, size_t vl) +{ + return vloxei64_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei64_v_i16m2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*m2,\s*ta,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[08]|v16|v24) +** ... +** ret +*/ +extern "C" vint16m2_t +test_vloxei64_v_i16m2_ta (int16_t *base, vuint64m8_t bindex, size_t vl) +{ + return vloxei64_ta (base, bindex, vl); +} + + +/* +** test_vloxei64_v_i16m2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*m2,\s*tu,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[08]|v16|v24) +** ... +** ret +*/ +extern "C" vint16m2_t +test_vloxei64_v_i16m2_tu (vint16m2_t dest, int16_t *base, vuint64m8_t bindex, size_t vl) +{ + return vloxei64_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei64_v_i32m4_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m4,\s*ta,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[08]|v16|v24) +** ... +** ret +*/ +extern "C" vint32m4_t +test_vloxei64_v_i32m4_ta (int32_t *base, vuint64m8_t bindex, size_t vl) +{ + return vloxei64_ta (base, bindex, vl); +} + + +/* +** test_vloxei64_v_i32m4_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m4,\s*tu,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[08]|v16|v24) +** ... +** ret +*/ +extern "C" vint32m4_t +test_vloxei64_v_i32m4_tu (vint32m4_t dest, int32_t *base, vuint64m8_t bindex, size_t vl) +{ + return vloxei64_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei64_v_i64m8_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m8,\s*ta,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[08]|v16|v24),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[08]|v16|v24) +** ... +** ret +*/ +extern "C" vint64m8_t +test_vloxei64_v_i64m8_ta (int64_t *base, vuint64m8_t bindex, size_t vl) +{ + return vloxei64_ta (base, bindex, vl); +} + + +/* +** test_vloxei64_v_i64m8_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m8,\s*tu,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[08]|v16|v24),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[08]|v16|v24) +** ... +** ret +*/ +extern "C" vint64m8_t +test_vloxei64_v_i64m8_tu (vint64m8_t dest, int64_t *base, vuint64m8_t bindex, size_t vl) +{ + return vloxei64_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei8_v_u8mf8_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*mf8,\s*ta,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint8mf8_t +test_vloxei8_v_u8mf8_ta (uint8_t *base, vuint8mf8_t bindex, size_t vl) +{ + return vloxei8_ta (base, bindex, vl); +} + + +/* +** test_vloxei8_v_u8mf8_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*mf8,\s*tu,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint8mf8_t +test_vloxei8_v_u8mf8_tu (vuint8mf8_t dest, uint8_t *base, vuint8mf8_t bindex, size_t vl) +{ + return vloxei8_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei8_v_u16mf4_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*mf4,\s*ta,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint16mf4_t +test_vloxei8_v_u16mf4_ta (uint16_t *base, vuint8mf8_t bindex, size_t vl) +{ + return vloxei8_ta (base, bindex, vl); +} + + +/* +** test_vloxei8_v_u16mf4_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*mf4,\s*tu,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint16mf4_t +test_vloxei8_v_u16mf4_tu (vuint16mf4_t dest, uint16_t *base, vuint8mf8_t bindex, size_t vl) +{ + return vloxei8_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei8_v_u32mf2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*mf2,\s*ta,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint32mf2_t +test_vloxei8_v_u32mf2_ta (uint32_t *base, vuint8mf8_t bindex, size_t vl) +{ + return vloxei8_ta (base, bindex, vl); +} + + +/* +** test_vloxei8_v_u32mf2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*mf2,\s*tu,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint32mf2_t +test_vloxei8_v_u32mf2_tu (vuint32mf2_t dest, uint32_t *base, vuint8mf8_t bindex, size_t vl) +{ + return vloxei8_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei8_v_u64m1_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m1,\s*ta,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint64m1_t +test_vloxei8_v_u64m1_ta (uint64_t *base, vuint8mf8_t bindex, size_t vl) +{ + return vloxei8_ta (base, bindex, vl); +} + + +/* +** test_vloxei8_v_u64m1_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m1,\s*tu,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint64m1_t +test_vloxei8_v_u64m1_tu (vuint64m1_t dest, uint64_t *base, vuint8mf8_t bindex, size_t vl) +{ + return vloxei8_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei8_v_u8mf4_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*mf4,\s*ta,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint8mf4_t +test_vloxei8_v_u8mf4_ta (uint8_t *base, vuint8mf4_t bindex, size_t vl) +{ + return vloxei8_ta (base, bindex, vl); +} + + +/* +** test_vloxei8_v_u8mf4_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*mf4,\s*tu,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint8mf4_t +test_vloxei8_v_u8mf4_tu (vuint8mf4_t dest, uint8_t *base, vuint8mf4_t bindex, size_t vl) +{ + return vloxei8_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei8_v_u16mf2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*mf2,\s*ta,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint16mf2_t +test_vloxei8_v_u16mf2_ta (uint16_t *base, vuint8mf4_t bindex, size_t vl) +{ + return vloxei8_ta (base, bindex, vl); +} + + +/* +** test_vloxei8_v_u16mf2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*mf2,\s*tu,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint16mf2_t +test_vloxei8_v_u16mf2_tu (vuint16mf2_t dest, uint16_t *base, vuint8mf4_t bindex, size_t vl) +{ + return vloxei8_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei8_v_u32m1_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m1,\s*ta,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint32m1_t +test_vloxei8_v_u32m1_ta (uint32_t *base, vuint8mf4_t bindex, size_t vl) +{ + return vloxei8_ta (base, bindex, vl); +} + + +/* +** test_vloxei8_v_u32m1_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m1,\s*tu,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint32m1_t +test_vloxei8_v_u32m1_tu (vuint32m1_t dest, uint32_t *base, vuint8mf4_t bindex, size_t vl) +{ + return vloxei8_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei8_v_u64m2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m2,\s*ta,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint64m2_t +test_vloxei8_v_u64m2_ta (uint64_t *base, vuint8mf4_t bindex, size_t vl) +{ + return vloxei8_ta (base, bindex, vl); +} + + +/* +** test_vloxei8_v_u64m2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m2,\s*tu,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint64m2_t +test_vloxei8_v_u64m2_tu (vuint64m2_t dest, uint64_t *base, vuint8mf4_t bindex, size_t vl) +{ + return vloxei8_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei8_v_u8mf2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*mf2,\s*ta,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint8mf2_t +test_vloxei8_v_u8mf2_ta (uint8_t *base, vuint8mf2_t bindex, size_t vl) +{ + return vloxei8_ta (base, bindex, vl); +} + + +/* +** test_vloxei8_v_u8mf2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*mf2,\s*tu,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint8mf2_t +test_vloxei8_v_u8mf2_tu (vuint8mf2_t dest, uint8_t *base, vuint8mf2_t bindex, size_t vl) +{ + return vloxei8_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei8_v_u16m1_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*m1,\s*ta,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint16m1_t +test_vloxei8_v_u16m1_ta (uint16_t *base, vuint8mf2_t bindex, size_t vl) +{ + return vloxei8_ta (base, bindex, vl); +} + + +/* +** test_vloxei8_v_u16m1_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*m1,\s*tu,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint16m1_t +test_vloxei8_v_u16m1_tu (vuint16m1_t dest, uint16_t *base, vuint8mf2_t bindex, size_t vl) +{ + return vloxei8_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei8_v_u32m2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m2,\s*ta,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint32m2_t +test_vloxei8_v_u32m2_ta (uint32_t *base, vuint8mf2_t bindex, size_t vl) +{ + return vloxei8_ta (base, bindex, vl); +} + + +/* +** test_vloxei8_v_u32m2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m2,\s*tu,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint32m2_t +test_vloxei8_v_u32m2_tu (vuint32m2_t dest, uint32_t *base, vuint8mf2_t bindex, size_t vl) +{ + return vloxei8_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei8_v_u64m4_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m4,\s*ta,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint64m4_t +test_vloxei8_v_u64m4_ta (uint64_t *base, vuint8mf2_t bindex, size_t vl) +{ + return vloxei8_ta (base, bindex, vl); +} + + +/* +** test_vloxei8_v_u64m4_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m4,\s*tu,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint64m4_t +test_vloxei8_v_u64m4_tu (vuint64m4_t dest, uint64_t *base, vuint8mf2_t bindex, size_t vl) +{ + return vloxei8_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei8_v_u8m1_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*m1,\s*ta,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint8m1_t +test_vloxei8_v_u8m1_ta (uint8_t *base, vuint8m1_t bindex, size_t vl) +{ + return vloxei8_ta (base, bindex, vl); +} + + +/* +** test_vloxei8_v_u8m1_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*m1,\s*tu,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint8m1_t +test_vloxei8_v_u8m1_tu (vuint8m1_t dest, uint8_t *base, vuint8m1_t bindex, size_t vl) +{ + return vloxei8_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei8_v_u16m2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*m2,\s*ta,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint16m2_t +test_vloxei8_v_u16m2_ta (uint16_t *base, vuint8m1_t bindex, size_t vl) +{ + return vloxei8_ta (base, bindex, vl); +} + + +/* +** test_vloxei8_v_u16m2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*m2,\s*tu,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint16m2_t +test_vloxei8_v_u16m2_tu (vuint16m2_t dest, uint16_t *base, vuint8m1_t bindex, size_t vl) +{ + return vloxei8_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei8_v_u32m4_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m4,\s*ta,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint32m4_t +test_vloxei8_v_u32m4_ta (uint32_t *base, vuint8m1_t bindex, size_t vl) +{ + return vloxei8_ta (base, bindex, vl); +} + + +/* +** test_vloxei8_v_u32m4_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m4,\s*tu,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint32m4_t +test_vloxei8_v_u32m4_tu (vuint32m4_t dest, uint32_t *base, vuint8m1_t bindex, size_t vl) +{ + return vloxei8_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei8_v_u64m8_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m8,\s*ta,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[08]|v16|v24),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint64m8_t +test_vloxei8_v_u64m8_ta (uint64_t *base, vuint8m1_t bindex, size_t vl) +{ + return vloxei8_ta (base, bindex, vl); +} + + +/* +** test_vloxei8_v_u64m8_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m8,\s*tu,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[08]|v16|v24),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint64m8_t +test_vloxei8_v_u64m8_tu (vuint64m8_t dest, uint64_t *base, vuint8m1_t bindex, size_t vl) +{ + return vloxei8_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei8_v_u8m2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*m2,\s*ta,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vuint8m2_t +test_vloxei8_v_u8m2_ta (uint8_t *base, vuint8m2_t bindex, size_t vl) +{ + return vloxei8_ta (base, bindex, vl); +} + + +/* +** test_vloxei8_v_u8m2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*m2,\s*tu,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vuint8m2_t +test_vloxei8_v_u8m2_tu (vuint8m2_t dest, uint8_t *base, vuint8m2_t bindex, size_t vl) +{ + return vloxei8_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei8_v_u16m4_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*m4,\s*ta,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vuint16m4_t +test_vloxei8_v_u16m4_ta (uint16_t *base, vuint8m2_t bindex, size_t vl) +{ + return vloxei8_ta (base, bindex, vl); +} + + +/* +** test_vloxei8_v_u16m4_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*m4,\s*tu,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vuint16m4_t +test_vloxei8_v_u16m4_tu (vuint16m4_t dest, uint16_t *base, vuint8m2_t bindex, size_t vl) +{ + return vloxei8_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei8_v_u32m8_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m8,\s*ta,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[08]|v16|v24),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vuint32m8_t +test_vloxei8_v_u32m8_ta (uint32_t *base, vuint8m2_t bindex, size_t vl) +{ + return vloxei8_ta (base, bindex, vl); +} + + +/* +** test_vloxei8_v_u32m8_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m8,\s*tu,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[08]|v16|v24),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vuint32m8_t +test_vloxei8_v_u32m8_tu (vuint32m8_t dest, uint32_t *base, vuint8m2_t bindex, size_t vl) +{ + return vloxei8_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei8_v_u8m4_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*m4,\s*ta,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vuint8m4_t +test_vloxei8_v_u8m4_ta (uint8_t *base, vuint8m4_t bindex, size_t vl) +{ + return vloxei8_ta (base, bindex, vl); +} + + +/* +** test_vloxei8_v_u8m4_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*m4,\s*tu,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vuint8m4_t +test_vloxei8_v_u8m4_tu (vuint8m4_t dest, uint8_t *base, vuint8m4_t bindex, size_t vl) +{ + return vloxei8_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei8_v_u16m8_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*m8,\s*ta,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[08]|v16|v24),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vuint16m8_t +test_vloxei8_v_u16m8_ta (uint16_t *base, vuint8m4_t bindex, size_t vl) +{ + return vloxei8_ta (base, bindex, vl); +} + + +/* +** test_vloxei8_v_u16m8_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*m8,\s*tu,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[08]|v16|v24),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vuint16m8_t +test_vloxei8_v_u16m8_tu (vuint16m8_t dest, uint16_t *base, vuint8m4_t bindex, size_t vl) +{ + return vloxei8_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei8_v_u8m8_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*m8,\s*ta,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[08]|v16|v24),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[08]|v16|v24) +** ... +** ret +*/ +extern "C" vuint8m8_t +test_vloxei8_v_u8m8_ta (uint8_t *base, vuint8m8_t bindex, size_t vl) +{ + return vloxei8_ta (base, bindex, vl); +} + + +/* +** test_vloxei8_v_u8m8_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*m8,\s*tu,\s*m[au] +** ... +** vloxei8\.v\s+(?:v[08]|v16|v24),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[08]|v16|v24) +** ... +** ret +*/ +extern "C" vuint8m8_t +test_vloxei8_v_u8m8_tu (vuint8m8_t dest, uint8_t *base, vuint8m8_t bindex, size_t vl) +{ + return vloxei8_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei16_v_u8mf8_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*mf8,\s*ta,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint8mf8_t +test_vloxei16_v_u8mf8_ta (uint8_t *base, vuint16mf4_t bindex, size_t vl) +{ + return vloxei16_ta (base, bindex, vl); +} + + +/* +** test_vloxei16_v_u8mf8_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*mf8,\s*tu,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint8mf8_t +test_vloxei16_v_u8mf8_tu (vuint8mf8_t dest, uint8_t *base, vuint16mf4_t bindex, size_t vl) +{ + return vloxei16_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei16_v_u16mf4_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*mf4,\s*ta,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint16mf4_t +test_vloxei16_v_u16mf4_ta (uint16_t *base, vuint16mf4_t bindex, size_t vl) +{ + return vloxei16_ta (base, bindex, vl); +} + + +/* +** test_vloxei16_v_u16mf4_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*mf4,\s*tu,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint16mf4_t +test_vloxei16_v_u16mf4_tu (vuint16mf4_t dest, uint16_t *base, vuint16mf4_t bindex, size_t vl) +{ + return vloxei16_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei16_v_u32mf2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*mf2,\s*ta,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint32mf2_t +test_vloxei16_v_u32mf2_ta (uint32_t *base, vuint16mf4_t bindex, size_t vl) +{ + return vloxei16_ta (base, bindex, vl); +} + + +/* +** test_vloxei16_v_u32mf2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*mf2,\s*tu,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint32mf2_t +test_vloxei16_v_u32mf2_tu (vuint32mf2_t dest, uint32_t *base, vuint16mf4_t bindex, size_t vl) +{ + return vloxei16_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei16_v_u64m1_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m1,\s*ta,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint64m1_t +test_vloxei16_v_u64m1_ta (uint64_t *base, vuint16mf4_t bindex, size_t vl) +{ + return vloxei16_ta (base, bindex, vl); +} + + +/* +** test_vloxei16_v_u64m1_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m1,\s*tu,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint64m1_t +test_vloxei16_v_u64m1_tu (vuint64m1_t dest, uint64_t *base, vuint16mf4_t bindex, size_t vl) +{ + return vloxei16_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei16_v_u8mf4_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*mf4,\s*ta,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint8mf4_t +test_vloxei16_v_u8mf4_ta (uint8_t *base, vuint16mf2_t bindex, size_t vl) +{ + return vloxei16_ta (base, bindex, vl); +} + + +/* +** test_vloxei16_v_u8mf4_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*mf4,\s*tu,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint8mf4_t +test_vloxei16_v_u8mf4_tu (vuint8mf4_t dest, uint8_t *base, vuint16mf2_t bindex, size_t vl) +{ + return vloxei16_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei16_v_u16mf2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*mf2,\s*ta,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint16mf2_t +test_vloxei16_v_u16mf2_ta (uint16_t *base, vuint16mf2_t bindex, size_t vl) +{ + return vloxei16_ta (base, bindex, vl); +} + + +/* +** test_vloxei16_v_u16mf2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*mf2,\s*tu,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint16mf2_t +test_vloxei16_v_u16mf2_tu (vuint16mf2_t dest, uint16_t *base, vuint16mf2_t bindex, size_t vl) +{ + return vloxei16_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei16_v_u32m1_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m1,\s*ta,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint32m1_t +test_vloxei16_v_u32m1_ta (uint32_t *base, vuint16mf2_t bindex, size_t vl) +{ + return vloxei16_ta (base, bindex, vl); +} + + +/* +** test_vloxei16_v_u32m1_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m1,\s*tu,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint32m1_t +test_vloxei16_v_u32m1_tu (vuint32m1_t dest, uint32_t *base, vuint16mf2_t bindex, size_t vl) +{ + return vloxei16_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei16_v_u64m2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m2,\s*ta,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint64m2_t +test_vloxei16_v_u64m2_ta (uint64_t *base, vuint16mf2_t bindex, size_t vl) +{ + return vloxei16_ta (base, bindex, vl); +} + + +/* +** test_vloxei16_v_u64m2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m2,\s*tu,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint64m2_t +test_vloxei16_v_u64m2_tu (vuint64m2_t dest, uint64_t *base, vuint16mf2_t bindex, size_t vl) +{ + return vloxei16_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei16_v_u8mf2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*mf2,\s*ta,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint8mf2_t +test_vloxei16_v_u8mf2_ta (uint8_t *base, vuint16m1_t bindex, size_t vl) +{ + return vloxei16_ta (base, bindex, vl); +} + + +/* +** test_vloxei16_v_u8mf2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*mf2,\s*tu,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint8mf2_t +test_vloxei16_v_u8mf2_tu (vuint8mf2_t dest, uint8_t *base, vuint16m1_t bindex, size_t vl) +{ + return vloxei16_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei16_v_u16m1_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*m1,\s*ta,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint16m1_t +test_vloxei16_v_u16m1_ta (uint16_t *base, vuint16m1_t bindex, size_t vl) +{ + return vloxei16_ta (base, bindex, vl); +} + + +/* +** test_vloxei16_v_u16m1_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*m1,\s*tu,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint16m1_t +test_vloxei16_v_u16m1_tu (vuint16m1_t dest, uint16_t *base, vuint16m1_t bindex, size_t vl) +{ + return vloxei16_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei16_v_u32m2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m2,\s*ta,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint32m2_t +test_vloxei16_v_u32m2_ta (uint32_t *base, vuint16m1_t bindex, size_t vl) +{ + return vloxei16_ta (base, bindex, vl); +} + + +/* +** test_vloxei16_v_u32m2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m2,\s*tu,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint32m2_t +test_vloxei16_v_u32m2_tu (vuint32m2_t dest, uint32_t *base, vuint16m1_t bindex, size_t vl) +{ + return vloxei16_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei16_v_u64m4_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m4,\s*ta,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint64m4_t +test_vloxei16_v_u64m4_ta (uint64_t *base, vuint16m1_t bindex, size_t vl) +{ + return vloxei16_ta (base, bindex, vl); +} + + +/* +** test_vloxei16_v_u64m4_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m4,\s*tu,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint64m4_t +test_vloxei16_v_u64m4_tu (vuint64m4_t dest, uint64_t *base, vuint16m1_t bindex, size_t vl) +{ + return vloxei16_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei16_v_u8m1_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*m1,\s*ta,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vuint8m1_t +test_vloxei16_v_u8m1_ta (uint8_t *base, vuint16m2_t bindex, size_t vl) +{ + return vloxei16_ta (base, bindex, vl); +} + + +/* +** test_vloxei16_v_u8m1_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*m1,\s*tu,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vuint8m1_t +test_vloxei16_v_u8m1_tu (vuint8m1_t dest, uint8_t *base, vuint16m2_t bindex, size_t vl) +{ + return vloxei16_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei16_v_u16m2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*m2,\s*ta,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vuint16m2_t +test_vloxei16_v_u16m2_ta (uint16_t *base, vuint16m2_t bindex, size_t vl) +{ + return vloxei16_ta (base, bindex, vl); +} + + +/* +** test_vloxei16_v_u16m2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*m2,\s*tu,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vuint16m2_t +test_vloxei16_v_u16m2_tu (vuint16m2_t dest, uint16_t *base, vuint16m2_t bindex, size_t vl) +{ + return vloxei16_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei16_v_u32m4_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m4,\s*ta,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vuint32m4_t +test_vloxei16_v_u32m4_ta (uint32_t *base, vuint16m2_t bindex, size_t vl) +{ + return vloxei16_ta (base, bindex, vl); +} + + +/* +** test_vloxei16_v_u32m4_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m4,\s*tu,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vuint32m4_t +test_vloxei16_v_u32m4_tu (vuint32m4_t dest, uint32_t *base, vuint16m2_t bindex, size_t vl) +{ + return vloxei16_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei16_v_u64m8_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m8,\s*ta,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[08]|v16|v24),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vuint64m8_t +test_vloxei16_v_u64m8_ta (uint64_t *base, vuint16m2_t bindex, size_t vl) +{ + return vloxei16_ta (base, bindex, vl); +} + + +/* +** test_vloxei16_v_u64m8_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m8,\s*tu,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[08]|v16|v24),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vuint64m8_t +test_vloxei16_v_u64m8_tu (vuint64m8_t dest, uint64_t *base, vuint16m2_t bindex, size_t vl) +{ + return vloxei16_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei16_v_u8m2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*m2,\s*ta,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vuint8m2_t +test_vloxei16_v_u8m2_ta (uint8_t *base, vuint16m4_t bindex, size_t vl) +{ + return vloxei16_ta (base, bindex, vl); +} + + +/* +** test_vloxei16_v_u8m2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*m2,\s*tu,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vuint8m2_t +test_vloxei16_v_u8m2_tu (vuint8m2_t dest, uint8_t *base, vuint16m4_t bindex, size_t vl) +{ + return vloxei16_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei16_v_u16m4_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*m4,\s*ta,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vuint16m4_t +test_vloxei16_v_u16m4_ta (uint16_t *base, vuint16m4_t bindex, size_t vl) +{ + return vloxei16_ta (base, bindex, vl); +} + + +/* +** test_vloxei16_v_u16m4_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*m4,\s*tu,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vuint16m4_t +test_vloxei16_v_u16m4_tu (vuint16m4_t dest, uint16_t *base, vuint16m4_t bindex, size_t vl) +{ + return vloxei16_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei16_v_u32m8_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m8,\s*ta,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[08]|v16|v24),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vuint32m8_t +test_vloxei16_v_u32m8_ta (uint32_t *base, vuint16m4_t bindex, size_t vl) +{ + return vloxei16_ta (base, bindex, vl); +} + + +/* +** test_vloxei16_v_u32m8_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m8,\s*tu,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[08]|v16|v24),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vuint32m8_t +test_vloxei16_v_u32m8_tu (vuint32m8_t dest, uint32_t *base, vuint16m4_t bindex, size_t vl) +{ + return vloxei16_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei16_v_u8m4_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*m4,\s*ta,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[08]|v16|v24) +** ... +** ret +*/ +extern "C" vuint8m4_t +test_vloxei16_v_u8m4_ta (uint8_t *base, vuint16m8_t bindex, size_t vl) +{ + return vloxei16_ta (base, bindex, vl); +} + + +/* +** test_vloxei16_v_u8m4_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*m4,\s*tu,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[08]|v16|v24) +** ... +** ret +*/ +extern "C" vuint8m4_t +test_vloxei16_v_u8m4_tu (vuint8m4_t dest, uint8_t *base, vuint16m8_t bindex, size_t vl) +{ + return vloxei16_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei16_v_u16m8_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*m8,\s*ta,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[08]|v16|v24),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[08]|v16|v24) +** ... +** ret +*/ +extern "C" vuint16m8_t +test_vloxei16_v_u16m8_ta (uint16_t *base, vuint16m8_t bindex, size_t vl) +{ + return vloxei16_ta (base, bindex, vl); +} + + +/* +** test_vloxei16_v_u16m8_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*m8,\s*tu,\s*m[au] +** ... +** vloxei16\.v\s+(?:v[08]|v16|v24),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[08]|v16|v24) +** ... +** ret +*/ +extern "C" vuint16m8_t +test_vloxei16_v_u16m8_tu (vuint16m8_t dest, uint16_t *base, vuint16m8_t bindex, size_t vl) +{ + return vloxei16_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei32_v_u8mf8_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*mf8,\s*ta,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint8mf8_t +test_vloxei32_v_u8mf8_ta (uint8_t *base, vuint32mf2_t bindex, size_t vl) +{ + return vloxei32_ta (base, bindex, vl); +} + + +/* +** test_vloxei32_v_u8mf8_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*mf8,\s*tu,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint8mf8_t +test_vloxei32_v_u8mf8_tu (vuint8mf8_t dest, uint8_t *base, vuint32mf2_t bindex, size_t vl) +{ + return vloxei32_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei32_v_u16mf4_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*mf4,\s*ta,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint16mf4_t +test_vloxei32_v_u16mf4_ta (uint16_t *base, vuint32mf2_t bindex, size_t vl) +{ + return vloxei32_ta (base, bindex, vl); +} + + +/* +** test_vloxei32_v_u16mf4_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*mf4,\s*tu,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint16mf4_t +test_vloxei32_v_u16mf4_tu (vuint16mf4_t dest, uint16_t *base, vuint32mf2_t bindex, size_t vl) +{ + return vloxei32_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei32_v_u32mf2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*mf2,\s*ta,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint32mf2_t +test_vloxei32_v_u32mf2_ta (uint32_t *base, vuint32mf2_t bindex, size_t vl) +{ + return vloxei32_ta (base, bindex, vl); +} + + +/* +** test_vloxei32_v_u32mf2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*mf2,\s*tu,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint32mf2_t +test_vloxei32_v_u32mf2_tu (vuint32mf2_t dest, uint32_t *base, vuint32mf2_t bindex, size_t vl) +{ + return vloxei32_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei32_v_u64m1_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m1,\s*ta,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint64m1_t +test_vloxei32_v_u64m1_ta (uint64_t *base, vuint32mf2_t bindex, size_t vl) +{ + return vloxei32_ta (base, bindex, vl); +} + + +/* +** test_vloxei32_v_u64m1_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m1,\s*tu,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint64m1_t +test_vloxei32_v_u64m1_tu (vuint64m1_t dest, uint64_t *base, vuint32mf2_t bindex, size_t vl) +{ + return vloxei32_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei32_v_u8mf4_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*mf4,\s*ta,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint8mf4_t +test_vloxei32_v_u8mf4_ta (uint8_t *base, vuint32m1_t bindex, size_t vl) +{ + return vloxei32_ta (base, bindex, vl); +} + + +/* +** test_vloxei32_v_u8mf4_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*mf4,\s*tu,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint8mf4_t +test_vloxei32_v_u8mf4_tu (vuint8mf4_t dest, uint8_t *base, vuint32m1_t bindex, size_t vl) +{ + return vloxei32_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei32_v_u16mf2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*mf2,\s*ta,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint16mf2_t +test_vloxei32_v_u16mf2_ta (uint16_t *base, vuint32m1_t bindex, size_t vl) +{ + return vloxei32_ta (base, bindex, vl); +} + + +/* +** test_vloxei32_v_u16mf2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*mf2,\s*tu,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint16mf2_t +test_vloxei32_v_u16mf2_tu (vuint16mf2_t dest, uint16_t *base, vuint32m1_t bindex, size_t vl) +{ + return vloxei32_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei32_v_u32m1_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m1,\s*ta,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint32m1_t +test_vloxei32_v_u32m1_ta (uint32_t *base, vuint32m1_t bindex, size_t vl) +{ + return vloxei32_ta (base, bindex, vl); +} + + +/* +** test_vloxei32_v_u32m1_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m1,\s*tu,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint32m1_t +test_vloxei32_v_u32m1_tu (vuint32m1_t dest, uint32_t *base, vuint32m1_t bindex, size_t vl) +{ + return vloxei32_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei32_v_u64m2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m2,\s*ta,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint64m2_t +test_vloxei32_v_u64m2_ta (uint64_t *base, vuint32m1_t bindex, size_t vl) +{ + return vloxei32_ta (base, bindex, vl); +} + + +/* +** test_vloxei32_v_u64m2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m2,\s*tu,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint64m2_t +test_vloxei32_v_u64m2_tu (vuint64m2_t dest, uint64_t *base, vuint32m1_t bindex, size_t vl) +{ + return vloxei32_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei32_v_u8mf2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*mf2,\s*ta,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vuint8mf2_t +test_vloxei32_v_u8mf2_ta (uint8_t *base, vuint32m2_t bindex, size_t vl) +{ + return vloxei32_ta (base, bindex, vl); +} + + +/* +** test_vloxei32_v_u8mf2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*mf2,\s*tu,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vuint8mf2_t +test_vloxei32_v_u8mf2_tu (vuint8mf2_t dest, uint8_t *base, vuint32m2_t bindex, size_t vl) +{ + return vloxei32_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei32_v_u16m1_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*m1,\s*ta,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vuint16m1_t +test_vloxei32_v_u16m1_ta (uint16_t *base, vuint32m2_t bindex, size_t vl) +{ + return vloxei32_ta (base, bindex, vl); +} + + +/* +** test_vloxei32_v_u16m1_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*m1,\s*tu,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vuint16m1_t +test_vloxei32_v_u16m1_tu (vuint16m1_t dest, uint16_t *base, vuint32m2_t bindex, size_t vl) +{ + return vloxei32_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei32_v_u32m2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m2,\s*ta,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vuint32m2_t +test_vloxei32_v_u32m2_ta (uint32_t *base, vuint32m2_t bindex, size_t vl) +{ + return vloxei32_ta (base, bindex, vl); +} + + +/* +** test_vloxei32_v_u32m2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m2,\s*tu,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vuint32m2_t +test_vloxei32_v_u32m2_tu (vuint32m2_t dest, uint32_t *base, vuint32m2_t bindex, size_t vl) +{ + return vloxei32_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei32_v_u64m4_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m4,\s*ta,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vuint64m4_t +test_vloxei32_v_u64m4_ta (uint64_t *base, vuint32m2_t bindex, size_t vl) +{ + return vloxei32_ta (base, bindex, vl); +} + + +/* +** test_vloxei32_v_u64m4_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m4,\s*tu,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vuint64m4_t +test_vloxei32_v_u64m4_tu (vuint64m4_t dest, uint64_t *base, vuint32m2_t bindex, size_t vl) +{ + return vloxei32_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei32_v_u8m1_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*m1,\s*ta,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vuint8m1_t +test_vloxei32_v_u8m1_ta (uint8_t *base, vuint32m4_t bindex, size_t vl) +{ + return vloxei32_ta (base, bindex, vl); +} + + +/* +** test_vloxei32_v_u8m1_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*m1,\s*tu,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vuint8m1_t +test_vloxei32_v_u8m1_tu (vuint8m1_t dest, uint8_t *base, vuint32m4_t bindex, size_t vl) +{ + return vloxei32_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei32_v_u16m2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*m2,\s*ta,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vuint16m2_t +test_vloxei32_v_u16m2_ta (uint16_t *base, vuint32m4_t bindex, size_t vl) +{ + return vloxei32_ta (base, bindex, vl); +} + + +/* +** test_vloxei32_v_u16m2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*m2,\s*tu,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vuint16m2_t +test_vloxei32_v_u16m2_tu (vuint16m2_t dest, uint16_t *base, vuint32m4_t bindex, size_t vl) +{ + return vloxei32_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei32_v_u32m4_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m4,\s*ta,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vuint32m4_t +test_vloxei32_v_u32m4_ta (uint32_t *base, vuint32m4_t bindex, size_t vl) +{ + return vloxei32_ta (base, bindex, vl); +} + + +/* +** test_vloxei32_v_u32m4_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m4,\s*tu,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vuint32m4_t +test_vloxei32_v_u32m4_tu (vuint32m4_t dest, uint32_t *base, vuint32m4_t bindex, size_t vl) +{ + return vloxei32_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei32_v_u64m8_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m8,\s*ta,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[08]|v16|v24),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vuint64m8_t +test_vloxei32_v_u64m8_ta (uint64_t *base, vuint32m4_t bindex, size_t vl) +{ + return vloxei32_ta (base, bindex, vl); +} + + +/* +** test_vloxei32_v_u64m8_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m8,\s*tu,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[08]|v16|v24),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vuint64m8_t +test_vloxei32_v_u64m8_tu (vuint64m8_t dest, uint64_t *base, vuint32m4_t bindex, size_t vl) +{ + return vloxei32_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei32_v_u8m2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*m2,\s*ta,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[08]|v16|v24) +** ... +** ret +*/ +extern "C" vuint8m2_t +test_vloxei32_v_u8m2_ta (uint8_t *base, vuint32m8_t bindex, size_t vl) +{ + return vloxei32_ta (base, bindex, vl); +} + + +/* +** test_vloxei32_v_u8m2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*m2,\s*tu,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[08]|v16|v24) +** ... +** ret +*/ +extern "C" vuint8m2_t +test_vloxei32_v_u8m2_tu (vuint8m2_t dest, uint8_t *base, vuint32m8_t bindex, size_t vl) +{ + return vloxei32_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei32_v_u16m4_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*m4,\s*ta,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[08]|v16|v24) +** ... +** ret +*/ +extern "C" vuint16m4_t +test_vloxei32_v_u16m4_ta (uint16_t *base, vuint32m8_t bindex, size_t vl) +{ + return vloxei32_ta (base, bindex, vl); +} + + +/* +** test_vloxei32_v_u16m4_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*m4,\s*tu,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[08]|v16|v24) +** ... +** ret +*/ +extern "C" vuint16m4_t +test_vloxei32_v_u16m4_tu (vuint16m4_t dest, uint16_t *base, vuint32m8_t bindex, size_t vl) +{ + return vloxei32_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei32_v_u32m8_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m8,\s*ta,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[08]|v16|v24),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[08]|v16|v24) +** ... +** ret +*/ +extern "C" vuint32m8_t +test_vloxei32_v_u32m8_ta (uint32_t *base, vuint32m8_t bindex, size_t vl) +{ + return vloxei32_ta (base, bindex, vl); +} + + +/* +** test_vloxei32_v_u32m8_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m8,\s*tu,\s*m[au] +** ... +** vloxei32\.v\s+(?:v[08]|v16|v24),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[08]|v16|v24) +** ... +** ret +*/ +extern "C" vuint32m8_t +test_vloxei32_v_u32m8_tu (vuint32m8_t dest, uint32_t *base, vuint32m8_t bindex, size_t vl) +{ + return vloxei32_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei64_v_u8mf8_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*mf8,\s*ta,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint8mf8_t +test_vloxei64_v_u8mf8_ta (uint8_t *base, vuint64m1_t bindex, size_t vl) +{ + return vloxei64_ta (base, bindex, vl); +} + + +/* +** test_vloxei64_v_u8mf8_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*mf8,\s*tu,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint8mf8_t +test_vloxei64_v_u8mf8_tu (vuint8mf8_t dest, uint8_t *base, vuint64m1_t bindex, size_t vl) +{ + return vloxei64_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei64_v_u16mf4_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*mf4,\s*ta,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint16mf4_t +test_vloxei64_v_u16mf4_ta (uint16_t *base, vuint64m1_t bindex, size_t vl) +{ + return vloxei64_ta (base, bindex, vl); +} + + +/* +** test_vloxei64_v_u16mf4_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*mf4,\s*tu,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint16mf4_t +test_vloxei64_v_u16mf4_tu (vuint16mf4_t dest, uint16_t *base, vuint64m1_t bindex, size_t vl) +{ + return vloxei64_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei64_v_u32mf2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*mf2,\s*ta,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint32mf2_t +test_vloxei64_v_u32mf2_ta (uint32_t *base, vuint64m1_t bindex, size_t vl) +{ + return vloxei64_ta (base, bindex, vl); +} + + +/* +** test_vloxei64_v_u32mf2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*mf2,\s*tu,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint32mf2_t +test_vloxei64_v_u32mf2_tu (vuint32mf2_t dest, uint32_t *base, vuint64m1_t bindex, size_t vl) +{ + return vloxei64_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei64_v_u64m1_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m1,\s*ta,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint64m1_t +test_vloxei64_v_u64m1_ta (uint64_t *base, vuint64m1_t bindex, size_t vl) +{ + return vloxei64_ta (base, bindex, vl); +} + + +/* +** test_vloxei64_v_u64m1_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m1,\s*tu,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[0-9]|v[1-2][0-9]|v3[0-1]) +** ... +** ret +*/ +extern "C" vuint64m1_t +test_vloxei64_v_u64m1_tu (vuint64m1_t dest, uint64_t *base, vuint64m1_t bindex, size_t vl) +{ + return vloxei64_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei64_v_u8mf4_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*mf4,\s*ta,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vuint8mf4_t +test_vloxei64_v_u8mf4_ta (uint8_t *base, vuint64m2_t bindex, size_t vl) +{ + return vloxei64_ta (base, bindex, vl); +} + + +/* +** test_vloxei64_v_u8mf4_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*mf4,\s*tu,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vuint8mf4_t +test_vloxei64_v_u8mf4_tu (vuint8mf4_t dest, uint8_t *base, vuint64m2_t bindex, size_t vl) +{ + return vloxei64_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei64_v_u16mf2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*mf2,\s*ta,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vuint16mf2_t +test_vloxei64_v_u16mf2_ta (uint16_t *base, vuint64m2_t bindex, size_t vl) +{ + return vloxei64_ta (base, bindex, vl); +} + + +/* +** test_vloxei64_v_u16mf2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*mf2,\s*tu,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vuint16mf2_t +test_vloxei64_v_u16mf2_tu (vuint16mf2_t dest, uint16_t *base, vuint64m2_t bindex, size_t vl) +{ + return vloxei64_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei64_v_u32m1_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m1,\s*ta,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vuint32m1_t +test_vloxei64_v_u32m1_ta (uint32_t *base, vuint64m2_t bindex, size_t vl) +{ + return vloxei64_ta (base, bindex, vl); +} + + +/* +** test_vloxei64_v_u32m1_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m1,\s*tu,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vuint32m1_t +test_vloxei64_v_u32m1_tu (vuint32m1_t dest, uint32_t *base, vuint64m2_t bindex, size_t vl) +{ + return vloxei64_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei64_v_u64m2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m2,\s*ta,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vuint64m2_t +test_vloxei64_v_u64m2_ta (uint64_t *base, vuint64m2_t bindex, size_t vl) +{ + return vloxei64_ta (base, bindex, vl); +} + + +/* +** test_vloxei64_v_u64m2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m2,\s*tu,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[02468]|v[1-2][02468]|v30) +** ... +** ret +*/ +extern "C" vuint64m2_t +test_vloxei64_v_u64m2_tu (vuint64m2_t dest, uint64_t *base, vuint64m2_t bindex, size_t vl) +{ + return vloxei64_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei64_v_u8mf2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*mf2,\s*ta,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vuint8mf2_t +test_vloxei64_v_u8mf2_ta (uint8_t *base, vuint64m4_t bindex, size_t vl) +{ + return vloxei64_ta (base, bindex, vl); +} + + +/* +** test_vloxei64_v_u8mf2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*mf2,\s*tu,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vuint8mf2_t +test_vloxei64_v_u8mf2_tu (vuint8mf2_t dest, uint8_t *base, vuint64m4_t bindex, size_t vl) +{ + return vloxei64_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei64_v_u16m1_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*m1,\s*ta,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vuint16m1_t +test_vloxei64_v_u16m1_ta (uint16_t *base, vuint64m4_t bindex, size_t vl) +{ + return vloxei64_ta (base, bindex, vl); +} + + +/* +** test_vloxei64_v_u16m1_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*m1,\s*tu,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vuint16m1_t +test_vloxei64_v_u16m1_tu (vuint16m1_t dest, uint16_t *base, vuint64m4_t bindex, size_t vl) +{ + return vloxei64_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei64_v_u32m2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m2,\s*ta,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vuint32m2_t +test_vloxei64_v_u32m2_ta (uint32_t *base, vuint64m4_t bindex, size_t vl) +{ + return vloxei64_ta (base, bindex, vl); +} + + +/* +** test_vloxei64_v_u32m2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m2,\s*tu,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vuint32m2_t +test_vloxei64_v_u32m2_tu (vuint32m2_t dest, uint32_t *base, vuint64m4_t bindex, size_t vl) +{ + return vloxei64_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei64_v_u64m4_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m4,\s*ta,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vuint64m4_t +test_vloxei64_v_u64m4_ta (uint64_t *base, vuint64m4_t bindex, size_t vl) +{ + return vloxei64_ta (base, bindex, vl); +} + + +/* +** test_vloxei64_v_u64m4_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m4,\s*tu,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[048]|v1[26]|v2[048]) +** ... +** ret +*/ +extern "C" vuint64m4_t +test_vloxei64_v_u64m4_tu (vuint64m4_t dest, uint64_t *base, vuint64m4_t bindex, size_t vl) +{ + return vloxei64_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei64_v_u8m1_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*m1,\s*ta,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[08]|v16|v24) +** ... +** ret +*/ +extern "C" vuint8m1_t +test_vloxei64_v_u8m1_ta (uint8_t *base, vuint64m8_t bindex, size_t vl) +{ + return vloxei64_ta (base, bindex, vl); +} + + +/* +** test_vloxei64_v_u8m1_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e8,\s*m1,\s*tu,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[0-9]|v[1-2][0-9]|v3[0-1]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[08]|v16|v24) +** ... +** ret +*/ +extern "C" vuint8m1_t +test_vloxei64_v_u8m1_tu (vuint8m1_t dest, uint8_t *base, vuint64m8_t bindex, size_t vl) +{ + return vloxei64_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei64_v_u16m2_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*m2,\s*ta,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[08]|v16|v24) +** ... +** ret +*/ +extern "C" vuint16m2_t +test_vloxei64_v_u16m2_ta (uint16_t *base, vuint64m8_t bindex, size_t vl) +{ + return vloxei64_ta (base, bindex, vl); +} + + +/* +** test_vloxei64_v_u16m2_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e16,\s*m2,\s*tu,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[02468]|v[1-2][02468]|v30),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[08]|v16|v24) +** ... +** ret +*/ +extern "C" vuint16m2_t +test_vloxei64_v_u16m2_tu (vuint16m2_t dest, uint16_t *base, vuint64m8_t bindex, size_t vl) +{ + return vloxei64_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei64_v_u32m4_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m4,\s*ta,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[08]|v16|v24) +** ... +** ret +*/ +extern "C" vuint32m4_t +test_vloxei64_v_u32m4_ta (uint32_t *base, vuint64m8_t bindex, size_t vl) +{ + return vloxei64_ta (base, bindex, vl); +} + + +/* +** test_vloxei64_v_u32m4_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e32,\s*m4,\s*tu,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[048]|v1[26]|v2[048]),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[08]|v16|v24) +** ... +** ret +*/ +extern "C" vuint32m4_t +test_vloxei64_v_u32m4_tu (vuint32m4_t dest, uint32_t *base, vuint64m8_t bindex, size_t vl) +{ + return vloxei64_tu (dest, base, bindex, vl); +} + + +/* +** test_vloxei64_v_u64m8_ta: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m8,\s*ta,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[08]|v16|v24),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[08]|v16|v24) +** ... +** ret +*/ +extern "C" vuint64m8_t +test_vloxei64_v_u64m8_ta (uint64_t *base, vuint64m8_t bindex, size_t vl) +{ + return vloxei64_ta (base, bindex, vl); +} + + +/* +** test_vloxei64_v_u64m8_tu: +** ... +** vsetvli\s+zero,\s*(?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7]),\s*e64,\s*m8,\s*tu,\s*m[au] +** ... +** vloxei64\.v\s+(?:v[08]|v16|v24),\s*\((?:ra|[sgtf]p|t[0-6]|s[0-9]|s10|s11|a[0-7])\),\s*(?:v[08]|v16|v24) +** ... +** ret +*/ +extern "C" vuint64m8_t +test_vloxei64_v_u64m8_tu (vuint64m8_t dest, uint64_t *base, vuint64m8_t bindex, size_t vl) +{ + return vloxei64_tu (dest, base, bindex, vl); +} + + +